Ngspice

From Wikipedia, the free encyclopedia
Ngspice
Original author(s)Paolo Nenzi et. al.[1][a]
Developer(s)Ngspice Contributors Team: Holger Vogt, Giles Atkinson, Brian Taylor, Dietmar Warning e.a.
Initial release1993;
31 years ago
 (1993)
Stable release
42[2] Edit this on Wikidata / 27 December 2023
Repositorysourceforge.net/projects/ngspice/files/ng-spice-rework/
Written inC
Operating systemLinux, Windows, macOS, BSD, others
PlatformPPC64, IA-32 (i386), x86-64 [b]
Size7.6 MB (Linux)
Available inEnglish
TypeElectronic circuit simulation
LicenseBSD-3-Clause
Websitengspice.sourceforge.io

Ngspice[3][4][5] is an open-source mixed-level/mixed-signal electronic circuit simulator. It is a successor of the latest stable release of Berkeley SPICE, version 3f.5, which was released in 1993. A small group of maintainers and the user community contribute to the ngspice project by providing new features, enhancements and bug fixes.

Ngspice is based on three open-source free-software packages: Spice3f5, Xspice and Cider1b1:

  • SPICE[6] is the origin of most modern electronic circuit simulators, its successors are widely used in the electronics community.
  • Xspice[7] is an extension to Spice3 that provides additional C language code models to support analog behavioral modeling and co-simulation of digital components through a fast event-driven algorithm.
  • Cider[8] adds a numerical device simulator to ngspice. It couples the circuit-level simulator to the device simulator to provide enhanced simulation accuracy (at the expense of increased simulation time). Critical devices can be described with their technology parameters (numerical models), all others may use the original ngspice compact models. It is the successor to CODECS.[9]

Overview[edit]

Analysis types[edit]

Ngspice implements three classes of analysis:

  • Nonlinear DC analyses
  • Nonlinear transient analyses
  • Linear AC analyses

Transient analysis includes transient noise simulation. AC analysis includes small-signal noise simulation, pole-zero and transfer function analysis:[10]

  • Noise analysis
    • Small signal noise (AC)
    • Transient noise
  • Operating point analysis — determines the dc operating point of the circuit with inductors shorted and capacitors opened.
  • Operating point sweep analysis — determines the values of output variables while one or two specified independent voltage or current source is stepped over
  • Pole-zero analysis (AC)
  • Small-Signal distortion analysis and frequency response analysis (AC)
  • Sensitivity analysis (DC/AC)
  • Transfer function analysis
  • Transient analysis
  • Periodic steady state analysis
  • S-parameter analysis

Device models[edit]

Ngspice implements various circuits elements, like resistors, capacitors, inductors (single or mutual), transmission lines and a growing number of semiconductor devices like diodes, bipolar transistors, MOSFETs (both bulk and SOI), MESFETs, JFETs and HFETs.

Netlists[edit]

Ngspice supports parametric netlists (i.e. netlists can contain parameters and expressions). PSPICE compatible parametric macromodels, often released by manufacturers, can be imported as-is into the simulator. Polynomial sources are available. Ngspice provides an internal scripting language to facilitate complex simulation and evaluation control flows.

Defining new models[edit]

For mixed signal circuit simulation ngspice allows users to create a user-defined node definition file (UDN) of a new device model interface. The implementation of the node is created and simulated by using C language with macros which is compiled by standard C/C++ compilers.

New models can be added to the simulator using:

  • Behavioral modeling: Internal B-, E-, and G-sources, as well as R, C and L devices, offer modeling by mathematical expressions, driven by node voltages, branch currents, parameters and constants.
  • The Xspice codemodel interface: This is a C-code interface that helps the modeling process by simplifying the access to simulator's internal structure.
  • Verilog-A compact models: OSDI interface for dynamically loading OpenVAF compiled Verilog-A models.
  • C language coded models with spice format: As an open-source project, Ngspice allows new models to be linked to the sources and compiled.

Development[edit]

Ngspice may be compiled into a shared library (*.dll or *.so) readily to be integrated into a calling program. Its interface provides access to all simulation parameters, input and output data. tclspice, another shared library version, offers an interface to Tcl/Tk (software) for better integration with software like XCircuits.

Ngspice is licensed under the BSD-3-Clause license. This permissive open source license allows its integration as a simulation engine into several — proprietary or free/libre — EDA tools such as KiCad,[11][12] EAGLE (program),[13] CoolSPICE, Altium and others.

Ngspice has a command line input interface and offers plotting capability. An open source GUI with schematic entry, simulation and plotting is provided by Qucs-S.

Recent progresses on Ngspice have been presented at conferences such as FOSDEM[14] and FSiC.[15]

See also[edit]

Notes[edit]

  1. ^ Over 67 contributors have worked on ngspice over time
  2. ^ Compiler dependent. See C language compilers like GCC, clang, or MS Visual C++ for specific ISA and supported platforms.

References[edit]

  1. ^ "Ngspice circuit simulator - Authors". ngspice.sourceforge.net. Retrieved 2022-05-26.
  2. ^ "Ngspice, the open source Spice circuit simulator - NEWS".
  3. ^ NGSPICE: recent progresses and future plans, P. Nenzi e.a., MOS-AK, Bucharest 2014, http://www.mos-ak.org/bucharest/
  4. ^ "The NGSPICE circuit simulator". archive.fosdem.org. Retrieved 2024-02-15.
  5. ^ "ngspice, current status and future developments". archive.fosdem.org. Retrieved 2024-02-15.
  6. ^ Analysis of Performance and Convergence Issues for Circuit Simulation, T. Quarles, PhD dissertation, Memorandum No. UCB/ERL M89/42, Berkeley 1989, http://www.eecs.berkeley.edu/Pubs/TechRpts/1989/ERL-89-42.pdf
  7. ^ Code-level modeling in XSPICE, F. L. Cox e.a., Proceedings IEEE International Symposium on Circuits and Systems, 1992 (ISCAS 92), vol. 2, pp. 871-874, 10–13 May 1992
  8. ^ Gates, David A. (June 1993). "Design-Oriented Mixed-Level Circuit and Device Simulation - PhD thesis" (PDF). University of California, Berkeley. Retrieved 2023-08-28.
  9. ^ CODECS: A Mixed-Level Circuit and Device Simulator, K. Mayaram, Memorandum No. UCB/ERL M88/71, Berkeley, 1988, http://www.eecs.berkeley.edu/Pubs/TechRpts/1988/ERL-88-71.pdf
  10. ^ "ngspice / ngspice / [c4efe2] /ANALYSES". sourceforge.net. Retrieved 2022-05-26.
  11. ^ "Tutorial: how to set up ngspice and Eeschema for KiCad". ngspice. Retrieved 2019-07-18.
  12. ^ Integrated Spice Simulation with Kicad, T. Wlostowski, FOSDEM, Brussels 2017, https://archive.fosdem.org/2017/schedule/event/spice_kicad/
  13. ^ SPICE Simulation Part 1, S. Sattel, Autodesk Support and Learning, 2017, https://www.autodesk.com/products/eagle/blog/spice-simulation-part-1/
  14. ^ "ngspice, current status and future developments". Free and Open source Software Developers' European Meeting (FOSDEM). Retrieved 2019-06-25.
  15. ^ "ngspice - an open source mixed signal circuit simulator". Free Silicon Foundation (F-Si). Retrieved 2019-06-25.

External links[edit]