Intel Atom

From Wikipedia, the free encyclopedia

Intel Atom
Logo since 2020
General information
Launched2008–2009 (as Centrino Atom)
2008–present (as Atom)
Marketed byIntel
Designed byIntel
Common manufacturer(s)
  • Intel
Performance
Max. CPU clock rate600 MHz to 4.0 GHz
FSB speeds400 MT/s to 667 MT/s
Architecture and classification
Technology node45 nm to Intel 7
Instruction setIA-32, x86-64 (not for the N2xx and Z5xx series)
Extensions
Physical specifications
Cores
  • 1, 2, 4, 8, 12, 16, 24[1]
GPU(s)Intel Graphics Technology (some)
Package(s)
Products, models, variants
Core name(s)
History
Predecessor(s)Stealey

Intel Atom is a line of IA-32 and x86-64 instruction set ultra-low-voltage processors by Intel Corporation designed to reduce electric consumption and power dissipation in comparison with ordinary processors of the Intel Core series. Atom is mainly used in netbooks, nettops, embedded applications ranging from health care to advanced robotics, mobile Internet devices (MIDs) and phones. The line was originally designed in 45 nm complementary metal–oxide–semiconductor (CMOS) technology and subsequent models, codenamed Cedar, used a 32 nm process.[2]

The first generation of Atom processors are based on the Bonnell microarchitecture.[3][4] On December 21, 2009, Intel announced the Pine Trail platform, including new Atom processor code-named Pineview (Atom N450), with total kit power consumption down 20%.[5] On December 28, 2011, Intel updated the Atom line with the Cedar processors.[2]

In December 2012, Intel launched the 64-bit Centerton family of Atom CPUs, designed specifically for use in servers.[6] Centerton adds features previously unavailable in Atom processors, such as Intel VT virtualization technology and support for ECC memory.[7] On September 4, 2013, Intel launched a 22 nm successor to Centerton, codenamed Avoton.[8]

History[edit]

Intel Atom N2800

Intel Atom is a direct successor of the Intel A100 and A110 low-power processors (code-named Stealey), which were built on a 90 nm process, had 512 kB L2 cache and ran at 600 MHz/800 MHz with 3 W TDP (Thermal Design Power). Prior to the Silverthorne announcement, outside sources had speculated that Atom would compete with AMD's Geode system-on-a-chip processors, used by the One Laptop per Child (OLPC) project, and other cost and power sensitive applications for x86 processors. However, Intel revealed on October 15, 2007, that it was developing another new mobile processor, codenamed Diamondville, for OLPC-type devices.[9]

"Atom" was the name under which Silverthorne would be sold, while the supporting chipset formerly code-named Menlow was called Centrino Atom.[10]

At Spring Intel Developer Forum (IDF) 2008 in Shanghai, Intel officially announced that Silverthorne and Diamondville are based on the same microarchitecture. Silverthorne would be called the Atom Z5xx series and Diamondville would be called the Atom N2xx series. The more expensive lower-power Silverthorne parts was to be used in Intel mobile Internet devices (MIDs) whereas Diamondville was to be used in low-cost desktop and notebooks. Intel and Lenovo also jointly announced an Atom powered MID called the IdeaPad U8.[11]

In April 2008, a MID development kit was announced by Sophia Systems[12] and the first board called CoreExpress-ECO was revealed by a German company LiPPERT Embedded Computers, GmbH.[13] Intel offers Atom based motherboards.[14][15]

In December 2012, Intel released Atom for servers, the S1200 series. The primary difference between these processors and all prior versions, is that ECC memory support has been added, enabling the use of the Atom in mission-critical server environments that demand redundancy and memory failure protection.

Intel Atom processor family[16]
MID / Ultra-mobile PC / Lifestyle PC
Sticker Code
name
Series Core On-die
GPU
GPU
clk
TDP HT Intel
64
Intel
VT-x
Release
date
Intel Atom logo 2008 Silverthorne Z5xx single (45 nm) Yes 200 MHz 00.65...2 W No No No Apr 2008
02...2.4 W Yes Some
Intel Atom logo 2009 Lincroft Z6xx single (45 nm) Yes 400 MHz 01.3...3 W Yes No No May 2010
Classmate PC / Netbook / Nettop / Lifestyle PC
Sticker Code
name
Series Core On-die
GPU
GPU
clk
TDP HT Intel
64
Intel
VT-x
Release
date
Intel Atom logo 2008 Diamondville N2xx single (45 nm) No n/a 02.5 W Yes No No Jun 2008
2xx 04 W Yes
(if
enabled)
3xx dual (45 nm) 08 W Sep 2008
Intel Atom logo 2009 Pineview N4xx single (45 nm) Yes 200 MHz 06.5 W Yes Yes
(if
enabled)
Jan 2010
D4xx 400 MHz 10 W
N5xx dual (45 nm) 200 MHz 08.5 W
D5xx 400 MHz 13 W
Cedarview D2500 dual (32 nm) Yes 400 MHz 10 W No Yes
(if
enabled)
Nov 2011
D2550 640 MHz Yes Mar 2012
D2700 640 MHz Nov 2011
N2600 400 MHz 03.5 W Dec 2011
N2800 640 MHz 06.5 W
Server / Storage
Sticker Code
name
Series Core Base
CPU clk
Max.
CPU clk
TDP HT SATA
Ports
LAN
Ports
Release
date
Intel Atom logo 2009


(with On-die
GPU,
Intel 64 and
Intel VT-x)

Centerton S1220 dual (32 nm) 1.60 GHz 08.1 W No Dec 2012
S1240 1.60 GHz 06.1 W
S1260 2.00 GHz 08.6 W
Briarwood S1269 1.60 GHz 11.7 W
S1279 1.60 GHz 13.1 W
S1289 2.00 GHz 14.1 W
Avoton C2350 dual (22 nm) 1.70 GHz 2.00 GHz 06 W No 2 4 Sep 2013
C2530 quad (22 nm) 1.70 GHz 2.40 GHz 09 W 2 2
C2550 2.40 GHz 2.60 GHz 14 W 6 4
C2730 octa (22 nm) 1.70 GHz 2.40 GHz 12 W 2 2
C2750 2.40 GHz 2.60 GHz 20 W 6 4
List of Intel Atom processors

Availability[edit]

Atom processors became available to system manufacturers in 2008. Because they are soldered onto a mainboard, like northbridges and southbridges, Atom processors are not available to home users or system builders as separate processors, although they may be obtained preinstalled on some ITX motherboards. The Diamondville and Pineview[17] Atom is used in the HP Mini Series, Asus N10, Lenovo IdeaPad S10, Acer Aspire One & Packard Bell's "dot" (ZG5), recent ASUS Eee PC systems, Sony VAIO M-series, AMtek Elego, Dell Inspiron Mini Series, Gigabyte M912, LG X Series, Samsung NC10, Sylvania g Netbook Meso, Toshiba NB series (100, 200, 205, 255, 300, 500, 505), MSI Wind PC netbooks, RedFox Wizbook 1020i, Sony Vaio X Series, Zenith Z-Book, a range of Aleutia desktops, Magic W3, Archos and the ICP-DAS LP-8381-Atom.[18] The Pineview line is also used in multiple AAC devices for the disabled individual who is unable to speak and the AAC device assists the user in everyday communication with dedicated speech software.

Marketing[edit]

Intel has applied the Atom branding to product lines targeting several different market segments, including: MID/UMPC/Smartphone, Netbook/Nettop, Tablet, Embedded, Wireless Base Stations (for 5G networking infrastructure), Microserver/Server and Consumer electronics.

Intel consumer electronic (CE) SoCs are marketed under the Atom brand. Prior to application of the Atom brand, there were number of Intel CE SoCs including: Olo River (CE 2110 which had an XScale ARM architecture) and Canmore (CE 3100 which like Stealey and Tolapai had a 90 nm Pentium M microarchitecture). Intel Atom CE branded SoCs include: Sodaville, Groveland, and Berryville.

Instruction set architecture[edit]

All Atom processors implement the IA-32 instruction set; support for the x86-64 instruction set was not added until the desktop Diamondville and mobile Pineview cores. The Atom N2xx and Z5xx series Atom models cannot run x86-64 code.[19] The Centerton server processors also support the x86-64 instruction set.[7]

Intel states the Atom supports 64-bit operation only "with a processor, chipset, BIOS" that all support Intel 64. Those Atom systems not supporting all of these cannot enable Intel 64.[20] As a result, the ability of an Atom-based system to run 64-bit versions of operating systems may vary from one motherboard to another. Online retailer mini-itx.com has tested Atom-based motherboards made by Intel and Jetway, and while they were able to install 64-bit versions of Linux on Intel-branded motherboards with D2700 (Cedarview; supports maximum of 4 GB memory DDR3-800/1066[21]) processors, Intel 64 support was not enabled on a Jetway-branded motherboard with a D2550 (Cedarview) processor.[22]

Even among Atom-based systems which have Intel 64 enabled, not all are able to run 64-bit versions of Microsoft Windows. For those Pineview processors which support 64-bit operation, Intel Download Center currently provides 64-bit Windows Vista and Windows 7 drivers for Intel GMA 3150 graphics, found in Pineview processors.[23] However, no 64-bit Windows drivers are available for Intel Atom Cedarview processors, released Q3 2011.[24] However, Intel's Bay Trail-M processors, built on the Silvermont microarchitecture and released in the second half of 2013, regain 64-bit support, although driver support for Linux and Windows 7 is limited at launch.[25]

The lack of 64-bit Windows support for Cedarview processors has been speculated to be due to a driver issue. A member of the Intel Enthusiast Team has stated in a series of posts on enthusiast site Tom's Hardware that while the Atom D2700 (Cedarview) was designed with Intel 64 support, due to a "limitation of the board" Intel had pulled their previously available 64-bit drivers for Windows 7 and would not provide any further 64-bit support.[26] Some system manufacturers have similarly stated that their motherboards with Atom Cedarview processors lack 64-bit support due to a "lack of Intel® 64-bit VGA driver support".[27] Because all Cedarview processors use the same Intel GMA 3600 or 3650 graphics as the D2700, this indicates that Atom Cedarview systems will remain unable to run 64-bit versions of Windows, even those which have Intel 64 enabled and are able to run 64-bit versions of Linux.

Microarchitecture[edit]

The first Atom processors were based on the Bonnell microarchitecture.[3][4]

Those Atom processors are able to execute up to two instructions per cycle. Like many other x86 processors, they translate x86-instructions (CISC instructions) into simpler internal operations (sometimes referred to as micro-ops, i.e., effectively RISC style instructions) prior to execution. The majority of instructions produce one micro-op when translated, with around 4% of instructions used in typical programs producing multiple micro-ops. The number of instructions that produce more than one micro-op is significantly fewer than the P6 and NetBurst microarchitectures. In the Bonnell microarchitecture, internal micro-ops can contain both a memory load and a memory store in connection with an ALU operation, thus being more similar to the x86 level and more powerful than the micro-ops used in previous designs.[28] This enables relatively good performance with only two integer ALUs, and without any instruction reordering, speculative execution, or register renaming. The Bonnell microarchitecture therefore represents a partial revival of the principles used in earlier Intel designs such as P5 and the i486, with the sole purpose of enhancing the performance per watt ratio. However, Hyper-Threading is implemented in an easy (i.e., low power) way to employ the whole pipeline efficiently by avoiding typical single thread dependencies.[28]

Atom branded processors have historically featured the following microarchitectures:

Performance[edit]

The performance of a single-core Atom is about half that of a Pentium M of the same clock rate. For example, the Atom N270 (1.60 GHz) found in many netbooks such as the Eee PC can deliver around 3300 MIPS and 2.1 GFLOPS in standard benchmarks,[29] compared to 7400 MIPS and 3.9 GFLOPS for the similarly clocked (1.72 GHz) Pentium M 740.[30]

The Pineview platform has proven to be only slightly faster than the previous Diamondville platform. This is because the Pineview platform uses the same Bonnell execution core as Diamondville and is connected to the memory controller via the FSB, hence memory latency and performance in CPU-intensive applications are minimally improved.[31]

Collaborations[edit]

In March 2009, Intel announced that it would be collaborating with TSMC for the production of the Atom processors.[32] The deal was put on hold due to lack of demand in 2010.

On September 13, 2011, Intel and Google held a joint announcement of a partnership to provide support in Google's Android operating system for Intel processors (beginning with the Atom). This would allow Intel to supply chips for the growing smartphone and tablet market.[33]

Based on this collaboration, in 2012, Intel announced a new system on chip (SoC) platform designed for smartphones and tablets which would use the Atom line of CPUs.[34] It was a continuation of the partnership announced by Intel and Google on September 13, 2011, to provide support for the Android operating system on Intel x86 processors.[35] This range competed with existing SoCs developed for the smartphone and tablet market from companies like Texas Instruments, Nvidia, Qualcomm and Samsung.[36] On April 29, 2016, Intel announced the decision to cancel the Broxton SoC for smartphones and tablets. Broxton was to use the newest Atom microarchitecture (Goldmont on a 14 nm node) in combination with an Intel modem.[37]

Competition[edit]

Embedded processors based on the ARM version 7 instruction set architecture (such as Nvidia's Tegra 3 series, TI's 4 series and Freescale's i.MX51 based on the Cortex-A8 core, or the Qualcomm Snapdragon and Marvell Armada 500/600 based on custom ARMv7 implementations) offer similar performance to the low end Atom chipsets[dubious ] but at roughly one quarter the power consumption, and (like most ARM systems) as a single integrated system on a chip, rather than a two chip solution like the current Atom line. Although the second-generation Atom codenamed "Pineview" should greatly increase its competitiveness in performance/watt, ARM plans to counter the threat with the multi-core capable Cortex-A9 core as used in Nvidia's Tegra 2/3, TI's OMAP 4 series, and Qualcomm's next-generation Snapdragon series, among others.

The Nano and Nano Dual-Core series from VIA is slightly above the average thermal envelope of the Atom, but offers hardware AES support, random number generators, and out-of-order execution. Performance comparisons of the Intel Atom against the Via Nano indicate that a single core Intel Atom is easily outperformed by the Via Nano which is in turn outperformed by a dual core Intel Atom 330 in tests where multithreading is used. The Core 2 Duo SU7300 outperforms the dual-core Nano.[38][39][40][41]

The Xcore86 (also known as the PMX 1000) is x586 based System on Chip (SoC) that offers a below average thermal envelope compared to the Atom.

In 2014, Kenton Williston of EE Times said that while Atom will not displace ARM from its current markets, the ability to apply the PC architecture into smaller, cheaper and lower power form factors will open up new markets for Intel.[42]

In 2014, ARM claimed that Intel's Atom processors offer less compatibility and lower performance than their chips when running Android, and higher power consumption and less battery life for the same tasks under both Android and Windows.[43]

Issues[edit]

In February 2017 Cisco reported a clock signal issue[44] that would disable several of its products. Cisco stated, "we expect product failures to increase over the years, beginning after the unit has been in operation for approximately 18 months". Soon after, The Register[45] broke the news that this issue was linked to the Intel Atom SoC, and reports of other vendors[46] being affected started appearing online.

See also[edit]

Notes[edit]

  1. ^ "Product Fact Sheet: Accelerating 5G Network Infrastructure, from the Core to the Edge". Intel Newsroom (Press release). Retrieved April 12, 2020. L1 cache of 32KB/core, L2 cache of 4.5MB per 4-core cluster and shared LLC cache up to 15MB.
  2. ^ a b Anand Lal Shimpi. "Intel's Atom N2600, N2800 & D2700: Cedar Trail, The Heart of the 2012 Netbook". Archived from the original on April 29, 2014. Retrieved December 28, 2011.
  3. ^ a b Jeff Moriarty (1 April 2008). "'Atom 101' - Deciphering the Intel codewords around MIDs". Archived from the original on 29 February 2012. Retrieved 4 August 2010.
  4. ^ a b Anand Lal Shimpi (January 27, 2010). "Why Pine Trail Isn't Much Faster Than the First Atom". Archived from the original on January 4, 2014. Retrieved August 4, 2010.
  5. ^ "Intel Announces Next-Generation Atom Platform". Intel. Archived from the original on June 6, 2013.
  6. ^ "Products (Formerly Centerton)". Archived from the original on October 14, 2013. Retrieved March 22, 2013.
  7. ^ a b Ryan Smith (December 11, 2012). "Intel Launches Centerton Atom S1200 Family, First Atom for Servers". Archived from the original on May 2, 2014. Retrieved March 22, 2013.
  8. ^ Inside Intel's Atom C2000-series 'Avoton' processors Archived February 9, 2014, at the Wayback Machine
  9. ^ "Intel to unveil OLPC chips in Shanghai next April". InfoWorld. 15 October 2007. Archived from the original on 12 March 2009.
  10. ^ "Intel Announces Atom Brand for Silverthorne, Menlow". PC World. 2 March 2008. Archived from the original on 9 July 2008. Retrieved 10 April 2008.
  11. ^ "Lenovo exhibits Atom based MID Ideapad U8 at IDF 2008 : Specs, reviews and prices". Archived from the original on 23 February 2012.
  12. ^ "MID dev kit sports Centrino Atom chipset". Archived from the original on March 2, 2009. Retrieved January 29, 2011.
  13. ^ "Tiny Centrino Atom-based module unveiled". Archived from the original on April 27, 2009. Retrieved January 29, 2011.
  14. ^ "Intel Desktop Board D945GCLF – Overview". Archived from the original on December 8, 2008. Retrieved January 29, 2011.
  15. ^ "Intel offers $80 "Little Falls" Atom mobo". Archived from the original on February 16, 2009. Retrieved January 29, 2011.
  16. ^ "Products: SPECIFICATIONS: Intel Atom Processor".
  17. ^ "HP Mini 210-2072cl PC Product Specifications". Archived from the original on 8 January 2014.
  18. ^ "ICP-DAS LP-8381-Atom". Archived from the original on April 5, 2014.
  19. ^ "Intel Atom Processor Specifications". Intel.com. Archived from the original on March 17, 2011. Retrieved April 4, 2010.
  20. ^ "Intel N2600 : Atom Benchmarked: 4W Of Performance". Intel.com. August 28, 2012. Archived from the original on April 21, 2014. Retrieved August 28, 2012.
  21. ^ "Intel Atom® Processor D2700 specifications". Intel.com. July 5, 2019. Retrieved July 5, 2019.
  22. ^ "mini-itx.com - store - Intel Atom Mini-ITX boards". mini-itx.com. Archived from the original on June 13, 2013. Retrieved March 4, 2013.
  23. ^ "Download Center". Intel.com. Archived from the original on March 18, 2014. Retrieved March 4, 2013.
  24. ^ "Logic Supply Cedar View". logicsupply.com. Archived from the original on October 26, 2013. Retrieved March 4, 2013.
  25. ^ "Logic Supply Bay Trail Offers Performance Boost". logicsupply.com. Archived from the original on March 17, 2014. Retrieved March 17, 2013.
  26. ^ "[Solved] Atom D2700 (Cedar Trail) 32 bit?". tomshardware.com. February 10, 2012. Retrieved March 4, 2013.
  27. ^ "ASRock > AD2700B-ITX". asrock.com. Retrieved March 4, 2013.
  28. ^ a b "Intel's Atom Architecture: The Journey Begins". AnandTech. Archived from the original on May 31, 2009. Retrieved April 4, 2010.
  29. ^ "SiSoft Sandra : Atom Benchmarked: 4W Of Performance". Tomshardware.com. July 29, 2008. Retrieved April 4, 2010.
  30. ^ "Intel Pentium M 740 PCSTATS Review - Benchmarks: Office Productivity, SiSoft Sandra 2005". PCstats.com. Archived from the original on October 29, 2013. Retrieved February 17, 2009.
  31. ^ "Why Pine Trail Isn't Much Faster Than the First Atom". AnandTech. Archived from the original on February 1, 2010. Retrieved April 4, 2010.
  32. ^ "TSMC To Build Intel's Atom-Based Chips". Forbes. March 2, 2009. Archived from the original on October 27, 2012. Retrieved March 3, 2009.
  33. ^ "Intel, Google announce partnership for Android smartphones". September 14, 2011. Archived from the original on December 4, 2013.
  34. ^ Intel Raises Bar on Smartphones, Tablets and Ultrabook Devices
  35. ^ Antara News: Intel, Google announce partnership for Android smartphones
  36. ^ Sadauskas, Andrew (30 April 2012). "Intel battles ARM with new handset". smartcompany.com.au. Archived from the original on 2 May 2012. Retrieved 29 May 2012.
  37. ^ Ian Cutress (April 29, 2016). "Intel's Changing Future: Smartphone SoCs Broxton & SoFIA Officially Cancelled". AnandTech.
  38. ^ "VIA Nano Dual Core Preview". December 26, 2010. Archived from the original on April 13, 2014. Retrieved December 26, 2010.
  39. ^ "VIA Nano vs Intel Atom". TrustedReviews. February 14, 2007. Archived from the original on September 5, 2009. Retrieved April 4, 2010.
  40. ^ "VIA Nano Outperforms Intel Atom in Actual Industry Performance Benchmarking tests". Mydigitallife.info. July 31, 2008. Archived from the original on January 2, 2010. Retrieved April 4, 2010.
  41. ^ "EEE PC vs MSI Wind - Atom vs Celeron CPU Performance Benchmark: Netbooks, EEE PC, MSI Wind, Aspire One and Akoya Resources". Eeejournal.com. May 11, 2008. Archived from the original on April 13, 2014. Retrieved April 4, 2010.
  42. ^ "Analysis: The real scoop on Atom-ARM rivalry". Archived from the original on February 15, 2014. Retrieved January 1, 2012.
  43. ^ Myslewski, Rik (May 2, 2014). "ARM tests: Intel flops on Android compatibility, Windows power". www.theregister.co.uk. The Register. Archived from the original on May 3, 2014. Retrieved May 2, 2014.
  44. ^ Thomas Claburn (February 2, 2017). "FYI: Ticking time-bomb fault will brick Cisco gear after 18 months". The Register.
  45. ^ Thomas Claburn (February 6, 2017). "FYI: Intel's Atom C2000 chips are bricking products – and it's not just Cisco hit". The Register.
  46. ^ Tony Mattke (February 7, 2017). "Intel Atom SoC bricking more than Cisco products". RouterJockey.

References[edit]

External links[edit]