Extreme ultraviolet lithography

From Wikipedia, the free encyclopedia

Extreme ultraviolet lithography (EUVL, also known simply as EUV) is a cutting-edge technology used in the semiconductor industry for manufacturing integrated circuits (ICs). It is a type of photolithography that uses extreme ultraviolet (EUV) light to create intricate patterns on silicon wafers.

As of 2023, ASML Holding is the only company that produces and sells EUV systems for chip production, targeting 5 nanometer (nm) and 3 nm process nodes.

The EUV wavelengths that are used in EUVL are near 13.5 nanometers (nm), using a laser-pulsed tin (Sn) droplet plasma (Sn ions in the ionic states from Sn IX to Sn XIV give photon emission spectral peaks around 13.5 nm from 4p64dn - 4p54dn+1 + 4dn-14f ionic state transitions.[1]), to produce a pattern by using a reflective photomask to expose a substrate covered by photoresist.

At the 2019 International Electron Devices Meeting (IEDM), TSMC reported use of EUV for its 5 nm node in contact, via, metal line, and cut layers, where the cuts can be applied to fins, gates or metal lines.[2][3]

At IEDM 2020, TSMC reported its 5 nm node minimum metal pitch to be reduced 30% (to ~28 nm) from that of its 7 nm node,[4] which was 40 nm.[5]

Samsung's 5 nm node is lithographically the same design rule as its 7 nm node, with a minimum metal pitch of 36 nm.[6]

Image formation mechanism in EUV lithography.
  EUV multilayer of silicon-based glass spacer and molybdenum reflectors
  Absorber
  EUV radiation
  Resist
  Substrate
  Secondary electrons
EUV multilayer and absorber constituting mask pattern for imaging a line.
EUV radiation reflected from the mask pattern is absorbed in the resist and substrate, producing photoelectrons and secondary electrons. These electrons increase the extent of chemical reactions in the resist.
A secondary electron pattern that is random in nature is superimposed on the optical image. The unwanted secondary electron exposure results in loss of resolution, observable line edge roughness and linewidth variation.

History[edit]

In the 1960s, visible light was used for the production of integrated circuits, with wavelengths as small as 435 nm (mercury "g line").

Later, ultraviolet (UV) light was used, at first with a wavelength of 365nm (mercury "i line"), then with excimer wavelengths, first of 248 nm (krypton fluoride laser), then 193 nm (argon fluoride laser), which was called deep UV.

The next step, going even smaller, was dubbed Extreme UV or EUV. The EUV technology was considered impossible by many.

EUV light is absorbed by glass and air, so instead of using lenses to focus the beams of light as done previously, mirrors in a vacuum would be needed. A reliable production of EUV was also problematic. Then, leading producers of steppers Canon and Nikon stopped development, and some predicted the end of Moore's law.[citation needed]

In 1991, scientists at Bell Labs published a paper demonstrating the possibility of using a wavelength of 13.8 nm for the so-called Soft X-Ray Projection Lithography.[7]

To address the challenge of EUV lithography, researchers at Lawrence Livermore National Laboratory, Lawrence Berkeley National Laboratory, and Sandia National Laboratories were funded in the 1990s to perform basic research into the technical obstacles. The results of this successful effort were disseminated via a public/private partnership Cooperative R&D Agreement (CRADA) with the invention and rights wholly owned by the US government, but licensed and distributed under approval by DOE and Congress.[8] The CRADA consisted of a consortium of private companies and the Labs, manifested as an entity called the Extreme Ultraviolet Limited Liability Company (EUV LLC).[9]

Intel, Canon, and Nikon (leaders in the field at the time), as well as the Dutch company ASML and Silicon Valley Group (SVG) all sought licensing. Congress denied the Japanese companies the necessary permission as they were perceived as strong technical competitors at the time, and should not benefit from taxpayer-funded research at the expense of American companies.[10] In 2001 SVG was acquired by ASML, leaving ASML as the sole benefactor of the critical technology.[11]

By 2018, ASML succeeded in deploying the intellectual property from the EUV-LLC after several decades of developmental research, with incorporation of European-funded EUCLIDES (Extreme UV Concept Lithography Development System) and long-standing partner German optics manufacturer ZEISS and synchrotron light source supplier Oxford Instruments. This led MIT Technology Review to name it 'the machine that saved Moore's law'.[12] The first prototype in 2006 produced one wafer in 23 hours. As of 2022, a scanner produces up to 200 wafers per hour. The scanner uses Zeiss optics, which that company calls "the most precise mirrors in the world" and are produced by locating imperfections and then knocking off individual molecules with techniques such as ion beam figuring.[13]

This made the once small company ASML the world leader in the production of scanners and monopolist in this cutting edge technology and resulted in a record turnover of 18.6 billion € in 2021, dwarfing their competitors Canon and Nikon who were denied IP access. Because it is such a key technology for development in many fields, the United States licenser pressured Dutch authorities to not sell these machines to China. ASML has followed the guidelines of Dutch export controls and until further notice, will have no authority to ship the machines to China.[14]

Fab tool output[edit]

# Layers Tool WPD (Wafers per day) # Tools WPM
5 1500 5 45000
10 1500 10 45000
15 1500 15 45000
15 1500 30 90000
20 1500 40 90000
25 1500 50 90000

Source: ASML to ship EUV tools

Masks[edit]

EUV photomasks work by reflecting light,[15] which is achieved by using multiple alternating layers of molybdenum and silicon. This is in contrast to conventional photomasks which work by blocking light using a single chromium layer on a quartz substrate. An EUV mask consists of 40-50[16] alternating silicon and molybdenum layers;[17] this multilayer acts to reflect the extreme ultraviolet light through Bragg diffraction; the reflectance is a strong function of incident angle and wavelength, with longer wavelengths reflecting more near normal incidence and shorter wavelengths reflecting more away from normal incidence. The pattern is defined in a tantalum-based absorbing layer over the multilayer.[18] The multilayer may be protected by a thin ruthenium layer, called a capping layer.[19][20][21]

Blank photomasks are mainly made by two companies: AGC Inc. and Hoya Corporation.[22] and ion beam deposition equipment mainly made by Veeco is often used to deposit the multilayer.[23] A blank photomask is covered with photoresist, which is then baked (solidified) in an oven, and later exposed to laser light, using maskless lithography with an electron beam.[24] The exposed photoresist is developed (removed) and the unprotected areas are etched. The remaining photoresist is then removed. Masks are then inspected and later repaired using an electron beam.[25] Etching must be done only in the capping layer[26] and thus there is a need to distinguish between the ruthenium and the multilayer, which is known as etch selectivity[27] and is unlike etching in conventional photomasks which only have one layer critical to their function.[28]

Tool[edit]

An EUVL tool, Lawrence Livermore National Laboratory

An EUV tool (EUV photolithography machine) has a laser-driven tin (Sn) plasma light source, reflective optics comprising multilayer mirrors, contained within a hydrogen gas ambient.[29] The hydrogen is used to keep the EUV collector mirror, as the first mirror collecting EUV emitted over a large range in angle (~ 2π sr) from the Sn plasma, in the source free of Sn deposition.[30] Specifically, the hydrogen buffer gas in the EUV source chamber or vessel decelerates or possibly pushes back Sn ions and Sn debris traveling toward the EUV Collector (collector protection) and enable a chemical reaction of Sn (s) + 4H (g) = SnH4 (g) to remove Sn deposition on the collector in the form of SnH4 gas (collector reflectivity restoration).

EUVL is a significant departure from the deep ultraviolet lithography standard. All matter absorbs EUV radiation. Hence, EUV lithography requires a vacuum. All optical elements, including the photomask, must use defect-free molybdenum/silicon (Mo/Si) multilayers (consisting of 50 Mo/Si bilayers, which theoretical reflectivity limit at 13.5 nm is ~ 75%[31]) that act to reflect light by means of interlayer wave interference; any one of these mirrors absorb around 30% of the incident light so the mirror temperature control is important.

Current EUVL systems contain at least two condenser multilayer mirrors, six projection multilayer mirrors and a multilayer object (mask). Since the mirrors absorb 96% of the EUV light, the ideal EUV source needs to be much brighter than its predecessors. EUV source development has focused on plasmas generated by laser or discharge pulses. The mirror responsible for collecting the light is directly exposed to the plasma and is vulnerable to damage from high-energy ions[32][33] and other debris[34] such as tin droplets, which require the costly collector mirror to be replaced every year.[35]

Resource requirements[edit]

Utility 200 W output EUV 90 W output ArF
immersion double-patterning
Electrical power (kW) 532 49
Cooling water flow (L/min) 1600 75
Gas lines 6 3

The required utility resources are significantly larger for EUV compared to 193 nm immersion, even with two exposures using the latter. At the 2009 EUV Symposium, Hynix reported that the wall plug efficiency was ~0.02% for EUV, i.e., to get 200-watts at intermediate focus for 100 wafers-per-hour, one would require 1-megawatt of input power, compared to 165-kilowatts for an ArF immersion scanner, and that even at the same throughput, the footprint of the EUV scanner was ~3× the footprint of an ArF immersion scanner, resulting in productivity loss.[36] Additionally, to confine ion debris, a superconducting magnet may be required.[37]

A typical EUV tool weighs 180 tons.[38]

DUV vs. EUV Tool Energy Consumption (measured 2020):[39] EUV tools consume at least 10× more energy than immersion tools.

Platform DUV Immersion NXT:2050i EUV NXE:3400C (30 mJ/cm2)
Energy consumption 0.13 MW 1.31 MW
Throughput 296 wph 136 wph
Energy efficiency per wafer pass 0.45 kWh 9.64 kWh
Wafers per year 2,584,200 1,191,360

Summary of key features[edit]

The following table summarizes key differences between EUV systems in development and ArF immersion systems which are widely used in production today:

EUV ArF immersion
Wavelength 2% FWHM bandwidth about 13.5 nm 193 nm
Photon energy 91...93 eV 6.4 eV
Light source CO2 laser + Sn plasma ArF excimer laser
Wavelength bandwidth 5.9%[40] <0.16%[41]
Secondary electrons produced by absorption Yes No
Optics Reflective multilayers (~40% absorbing per mirror) Transmissive lenses
Numerical aperture (NA) 0.25: NXE:3100
0.33: NXE:33x0 and NXE:3400B
High NA (0.55): in development
1.20,
1.35
Resolution spec k1 = resolution / (wavelength / numerical aperture) NXE:3100:B 27 nm (k1=0.50)
NXE:3300B: 22 nm (k1=0.54),
NXEI3100BJ 18 nm (k1=0.44) with off-axis illumination
NXE:3350B: 16 nm (k1=0.39)
NXE:3400B/C, NXE:3600D: 13 nm (k1=0.32)
38 nm (k1=0.27)
Flare 4%[42] <1%[43]
Illumination Central angle 6° off axis onto reticle On axis
Field size 0.25 and 0.33 NA: 26 mm × 33 mm
High NA: 26 mm × 16.5 mm[44]
26 mm × 33 mm
Magnification 0.25 and 0.33 NA: 4× isomorphic
High NA: 4×/8× anamorphic
Ambient Vacuum hydrogen Air (exposed wafer area underwater)
Aberration control (including thermal) None Yes, e.g., FlexWave[45]
Illumination slit Arc-shaped[46] Rectangular[47]
Reticle Pattern on reflective multilayer Pattern on transmissive substrate
Wafer pattern shift with reticle vertical position Yes (due to reflection); ~1:40[48] No
Pellicle Available, but has issues Yes
Wafers per day (depends on tool and dose) 1500 6000
# tools in field > 90 (all 0.33 NA tool models) > 400

The different degrees of resolution among the 0.33 NA tools are due to the different illumination options. Despite the potential of the optics to reach sub-20 nm resolution, secondary electrons in resist practically limit the resolution to around 20 nm (more on this below).[49]

Light source power, throughput, and uptime[edit]

EUV throughput as a function of dose. The wafer throughput of an EUV tool is actually a function of exposure dose, for a fixed source power.

Neutral atoms or condensed matter cannot emit EUV radiation. Ionization must precede EUV emission in matter. The thermal production of multicharged positive ions is only possible in a hot dense plasma, which itself strongly absorbs EUV.[50] As of 2016, the established EUV light source is a laser-pulsed tin plasma.[51] The ions absorb the EUV light they emit, and are easily neutralized by electrons in the plasma to lower charge states which produce light mainly at other, unusable wavelengths, which results in a much reduced efficiency of light generation for lithography at higher plasma power density.

The throughput is tied to the source power, divided by the dose.[52] A higher dose requires a slower stage motion (lower throughput) if pulse power cannot be increased.

EUV collector reflectivity degrades ~0.1–0.3% per billion 50 kHz pulses (~10% in ~2 weeks), leading to loss of uptime and throughput, while even for the first few billion pulses (within one day), there is still 20% (+/-10%) fluctuation.[53] This could be due to the accumulating Sn residue mentioned above which is not completely cleaned off.[54][55] On the other hand, conventional immersion lithography tools for double-patterning provide consistent output for up to a year.[56]

Recently, the NXE:3400B illuminator features a smaller pupil fill ratio (PFR) down to 20% without transmission loss.[57] PFR is maximized and greater than 0.2 around a metal pitch of 45 nm.[58]

Due to the use of EUV mirrors which also absorb EUV light, only a small fraction of the source light is finally available at the wafer. There are 4 mirrors used for the illumination optics, and 6 mirrors for the projection optics. The EUV mask or reticle is itself an additional mirror. With 11 reflections, only ~ 2% of the EUV source light is available at the wafer.[59]

The throughput will be affect the EUV resist dose, which in turn depends on the required resolution.[60] A dose of 40 mJ/cm2 is expected to be maintained for adequate throughput.[61]

Tool uptime[edit]

The EUV light source limits tool uptime besides throughput. In a two-week period, for example, over seven hours downtime may be scheduled, while total actual downtime including unscheduled issues could easily exceed a day.[59] A dose error over 2% warrants tool downtime.[59]

The wafer exposure throughput steadily expanded up to around 1000 wafers/day (per system) over the 2019-2022 period,[62][63] indicating substantial idle time, while at the same time running >120 WPH on a number of multipatterned EUV layers, for an EUV wafer on average.

Comparison to other lithography light sources[edit]

EUV (10–121nm) is the band longer than X-rays (0.1–10nm) and shorter than the hydrogen Lyman-alpha line.

While state-of-the-art 193 nm ArF excimer lasers offer intensities of 200 W/cm2,[64] lasers for producing EUV-generating plasmas need to be much more intense, on the order of 1011 W/cm2.[65] A state-of-the-art ArF immersion lithography 120 W light source requires no more than 40 kW[66] while EUV sources are targeted to exceed 40 kW.[67]

The power target for EUV lithography is at least 250 W, while for other conventional lithography sources, it is much less.[59] For example, immersion lithography light sources target 90 W, dry ArF sources 45 W, and KrF sources 40 W. High-NA EUV sources are expected to require at least 500 W.[59]

EUV-specific optical issues[edit]

Reflective optics[edit]

EUV H-V difference of focus. Horizontal (H) and vertical (V) mask (reticle) pattern features are focused differently in EUV optical systems. The numerical aperture (NA) also makes a difference.

A fundamental aspect of EUVL tools, resulting from the use of reflective optics, is the off-axis illumination (at an angle of 6 degrees, in different direction at different positions within the illumination slit)[68] on a multilayer mask (reticle). This leads to shadowing effects resulting in asymmetry in the diffraction pattern that degrade pattern fidelity in various ways as described below.[69][70] For example, one side (behind the shadow) would appear brighter than the other (within the shadow).[71]

The behavior of light rays within the plane of reflection (affecting horizontal lines) is different from the behavior of light rays out of the plane of reflection (affecting vertical lines).[72] Most conspicuously, identically sized horizontal and vertical lines on the EUV mask are printed at different sizes on the wafer.

2-bar CD difference vs. focus. The difference between the widths of two adjacent horizontal lines varies as a function of focus.

The combination of the off-axis asymmetry and the mask shadowing effect leads to a fundamental inability of two identical features even in close proximity to be in focus simultaneously.[73] One of EUVL's key issues is the asymmetry between the top and bottom line of a pair of horizontal lines (the so-called "two-bar"). Some ways to partly compensate are the use of assist features as well as asymmetric illumination.[74]

An extension of the two-bar case to a grating consisting of many horizontal lines shows similar sensitivity to defocus.[75] It is manifest in the critical dimension (CD) difference between the top and bottom edge lines of the set of 11 horizontal lines.

Polarization by reflection also leads to partial polarization of EUV light, which favors imaging of lines perpendicular to the plane of the reflections.[76][77]

Pattern shift from defocus (non-telecentricity)[edit]

Due to different phase shifts from reflection from the EUV mask, different illumination angles result in different shifts. This results in reduced image contrast, also known as fading.

The EUV mask absorber, due to partial transmission, generates a phase difference between the 0th and 1st diffraction orders of a line-space pattern, resulting in image shifts (at a given illumination angle) as well as changes in peak intensity (leading to linewidth changes)[78][79] which are further enhanced due to defocus.[80][81] Ultimately, this results in different positions of best focus for different pitches and different illumination angles. Generally, the image shift is balanced out due to illumination source points being paired (each on opposite sides of the optical axis). However, the separate images are superposed and the resulting image contrast is degraded when the individual source image shifts are large enough. The phase difference ultimately also determines the best focus position.

The multilayer is also responsible for image shifting due to phase shifts from diffracted light within the multilayer itself.[82] This is inevitable due to light passing twice through the mask pattern.[83]

The use of reflection causes wafer exposure position to be extremely sensitive to the reticle flatness and the reticle clamp. Reticle clamp cleanliness is therefore required to be maintained. Small (mrad-scale) deviations in mask flatness in the local slope, coupled with wafer defocus.[84] More significantly, mask defocus has been found to result in large overlay errors.[85][86] In particular, for a 10 nm node metal 1 layer (including 48 nm, 64 nm, 70 nm pitches, isolated, and power lines), the uncorrectable pattern placement error was 1 nm for 40 nm mask z-position shift.[87] This is a global pattern shift of the layer with respect to previously defined layers. However, features at different locations will also shift differently due to different local deviations from mask flatness, e.g., from defects buried under the multilayer. It can be estimated that the contribution of mask non-flatness to overlay error is roughly 1/40 times the peak-to-valley thickness variation.[88] With the blank peak-to-valley spec of 50 nm, ~1.25 nm image placement error is possible. Blank thickness variations up to 80 nm also contribute, which lead to up to 2 nm image shift.[88]

The off-axis illumination of the reticle is also the cause of non-telecentricity in wafer defocus, which consumes most of the 1.4 nm overlay budget of the NXE:3400 EUV scanner[89] even for design rules as loose as 100 nm pitch.[90] The worst uncorrectable pattern placement error for a 24 nm line was about 1.1 nm, relative to an adjacent 72 nm power line, per 80 nm wafer focus position shift at a single slit position; when across-slit performance is included, the worst error is over 1.5 nm in the wafer defocus window[87] In 2017, an actinic microscope mimicking a 0.33 NA EUV lithography system with 0.2/0.9 quasar 45 illumination showed that an 80 nm pitch contact array shifted -0.6 to 1.0 nm while a 56 nm pitch contact array shifted -1.7 to 1.0 nm relative to a horizontal reference line, within a +/- 50 nm defocus window.[91]

Wafer defocus also leads to image placement errors due to deviations from local mask flatness. If the local slope is indicated by an angle α, the image is projected to be shifted in a 4× projection tool by 8α × (DOF/2) = 4αDOF, where DOF is the depth of focus.[92] For a depth of focus of 100 nm, a small local deviation from flatness of 2.5 mrad (0.14°) can lead to a pattern shift of 1 nm.

Simulations as well as experiments have shown that pupil imbalances in EUV lithography can result in pitch-dependent pattern placement errors.[93][94] Since the pupil imbalance changes with EUV collector mirror aging or contamination, such placement errors may not be stable over time. The situation is specifically challenging for logic devices, where multiple pitches have critical requirements at the same time.[95] The issue is ideally addressed by multiple exposures with tailored illuminations.[96][97]

Slit position dependence[edit]

Illumination rotation across ring-field slit. Light reflected from curved optical surfaces will generate arc segments.[98] The illumination angles are rotated azimuthally across the arc-shaped slit (right), due to the reflection of an arc-shaped image from each pupil position as a point source (left).[99][100] The angle-dependent and wavelength-dependent multilayer reflectance distribution pattern is rotated accordingly.

The direction of illumination is also highly dependent on slit position, essentially rotated azimuthally.[101][102][46][103][104][105] Nanya Technology and Synopsys found that horizontal vs. vertical bias changed across slit with dipole illumination.[106] The rotating plane of incidence (azimuthal range within -25° to 25°) is confirmed in the SHARP actinic review microscope at CXRO which mimics the optics for EUV projection lithography systems.[107] The reason for this is a mirror is used to transform straight rectangular fields into arc-shaped fields.[108][109] In order to preserve a fixed plane of incidence, the reflection from the previous mirror would be from a different angle with the surface for a different slit position; this causes non-uniformity of reflectivity. To preserve uniformity, rotational symmetry with a rotating plane of incidence is used.[110] More generally, so-called "ring-field" systems reduce aberrations by relying on the rotational symmetry of an arc-shaped field derived from an off-axis annulus.[111] This is preferred, as reflective systems must use off-axis paths, which aggravate aberrations. Hence identical die patterns within different halves of the arc-shaped slit would require different OPC. This renders them uninspectable by die-to-die comparison, as they are no longer truly identical dies. For pitches requiring dipole, quadrupole, or hexapole illumination, the rotation also causes mismatch with the same pattern layout at a different slit position, i.e., edge vs. center. Even with annular or circular illumination, the rotational symmetry is destroyed by the angle-dependent multilayer reflectance described above. Although the azimuthal angle range is +/- ~20°[112] (NXE3400[113] field data indicate 18.2°[114]) on 0.33 NA scanners, at 7 nm design rules (36–40 nm pitch), the tolerance for illumination can be +/-15°,[115][116] or even less.[117][118][113] Annular illumination nonuniformity and asymmetry also significantly impact the imaging.[119] At 28 nm pitch, the required dipole illumination becomes impossible to maintain across slit on 0.33 NA EUV systems.[120]

The larger incident angle for pitch-dependent dipole illumination trend across slit does not affect horizontal line shadowing so much, but vertical line shadowing does increase going from center to edge.[121] In addition, higher NA systems may offer limited relief from shadowing, as they target tighet pitches.[121]

Horizontal and vertical lines exhibit different shadowing across the slit.

The slit position dependence is particularly difficult for the tilted patterns encountered in DRAM.[104] Besides the more complicated effects due to shadowing and pupil rotation, tilted edges are converted to stair shape, which may be distorted by OPC. In fact, the 32 nm pitch DRAM by EUV will lengthen up to at least 9F2 cell area, where F=active area half-pitch (traditionally, it had been 6F2).[106] With a 2-D self-aligned double-patterning active area cut, the cell area is still lower at 8.9F2.[122]

Aberrations, originating from deviations of optical surfaces from subatomic (<0.1 nm) specifications[123] as well as thermal deformations[124][125] and possibly including polarized reflectance effects,[126] are also dependent on slit position,[127][125] as will be further discussed below, with regard to source-mask optimization (SMO). The thermally induced aberrations are expected to exhibit differences among different positions across the slit, corresponding to different field positions, as each position encounters different parts of the deformed mirrors.[128] Ironically, the use of substrate materials with high thermal and mechanical stability make it more difficult to compensate wavefront errors[129]

In combination with the range of wavelengths, the rotated plane of incidence aggravates the already severe stochastic impact on EUV imaging.[130]

Wavelength bandwidth (chromatic aberration)[edit]

Image shift due to defocus depends on wavelength. The angular dependence of multilayer reflectance of the object (mask) is different for different wavelengths, leading to different shifts when defocused.

Unlike deep ultraviolet (DUV) lithography sources, based on excimer lasers, EUV plasma sources produce light across a broad range of wavelengths[131] roughly spanning a 2% FWHM bandwidth near 13.5 nm (13.36nm – 13.65nm at 50% power). EUV (10–121nm) is the band longer than X-Rays (0.1–10nm) and shorter than the hydrogen Lyman-alpha line.

Though the EUV spectrum is not completely monochromatic, nor even as spectrally pure as DUV laser sources, the working wavelength has generally been taken to be 13.5 nm. In actuality, the reflected power is distributed mostly in the 13.3-13.7 nm range.[132] The bandwidth of EUV light reflected by a multilayer mirror used for EUV lithography is over +/-2% (>270 pm);[133] the phase changes due to wavelength changes at a given illumination angle may be calculated[134] and compared to the aberration budget.[135] Wavelength dependence of reflectance[134][132] also affects the apodization, or illumination distribution across the pupil (for different angles); different wavelengths effectively 'see' different illuminations as they are reflected differently by the multilayer of the mask.[136][132] This effective source illumination tilt can lead to large image shifts due to defocus.[137] Conversely, the peak reflected wavelength varies across the pupil due to different incident angles.[132][138] This is aggravated when the angles span a wide radius, e.g., annular illumination. The peak reflectance wavelength increases for smaller incident angles.[139] Aperiodic multilayers have been proposed to reduce the sensitivity at the cost of lower reflectivity but are too sensitive to random fluctuations of layer thicknesses, such as from thickness control imprecision or interdiffusion.[140] In particular, defocused dense lines at pitches up to twice the minimum resolvable pitch suffer wavelength-dependent edge shifts.[141]

A narrower bandwidth would increase sensitivity to mask absorber and buffer thickness on the 1 nm scale.[142][143]

Flare[edit]

Flare is the presence of background light originating from scattering off of surface features which are not resolved by the light. In EUV systems, this light can be EUV or out-of-band (OoB) light that is also produced by the EUV source. The OoB light adds the complication of affecting the resist exposure in ways other than accounted for by the EUV exposure. OoB light exposure may be alleviated by a layer coated above the resist, as well as 'black border' features on the EUV mask.[144] However, the layer coating inevitably absorbs EUV light, and the black border adds EUV mask processing cost.

Line tip effects[edit]

A key challenge for EUV is the counter-scaling behavior of the line tip-to-tip (T2T) distance as half-pitch (hp) is scaled down.[117] This is in part due to lower image contrast for the binary masks used in EUV lithography, which is not encountered with the use of phase shift masks in immersion lithography.[145][146] The rounding of the corners of the line end leads to line end shortening,[147] and this is worse for binary masks.[148] The use of phase-shift masks in EUV lithography has been studied but encounters difficulties from phase control in thin layers[149] as well as the bandwidth of the EUV light itself.[150] More conventionally, optical proximity correction (OPC) is used to address the corner rounding and line-end shortening. In spite of this, it has been shown that the tip-to-tip resolution and the line tip printability are traded off against each other, being effectively CDs of opposite polarity.[151]

In unidirectional metal layers, tip-to-tip spacing is one of the more severe issues for single exposure patterning. For the 40 nm pitch vertical lines, an 18 nm nominal tip-to-tip drawn gap resulted in an actual tip-to-tip distance of 29 nm with OPC,[117] while for 32 nm pitch horizontal lines, the tip-to-tip distance with a 14 nm nominal gap went to 31 nm with OPC.[152] These actual tip-to-tip distances define a lower limit of the half-pitch of the metal running in the direction perpendicular to the tip. In this case, the lower limit is around 30 nm. With further optimization of the illumination (discussed in the section on source-mask optimization), the lower limit can be further reduced to around 25 nm.[153]

For larger pitches, where conventional illumination can be used, the line tip-to-tip distance is generally larger. For the 24 nm half-pitch lines, with a 20 nm nominally drawn gap, the distance was actually 45 nm, while for 32 nm half-pitch lines, the same nominal gap resulted in a tip-to-tip distance of 34 nm.[152] With OPC, these become 39 nm and 28 nm for 24 nm half-pitch and 32 nm half-pitch, respectively.[154]

Enhancement opportunities for EUV patterning[edit]

Assist features[edit]

Assist feature OPC. Assist features help improve the image of isolated features (blue) to be more like dense features (gray). However, the more effective they are, the greater the risk that the assist feature will print (orange).

Assist features are often used to help balance asymmetry from non-telecentricity at different slit positions, due to different illumination angles, starting at the 7 nm node,[155][156] where the pitch is ~ 41 nm for a wavelength ~13.5 nm and NA=0.33, corresponding to k1 ~ 0.5.[157] However, the asymmetry is reduced but not eliminated, since the assist features mainly enhance the highest spatial frequencies, whereas intermediate spatial frequencies, which also affect feature focus and position, are not much affected. The coupling between the primary image and the self images is too strong for the asymmetry to be eliminated by assist features; only asymmetric illumination can achieve this.[74] Assist features may also get in the way of access to power/ground rails. Power rails are expected to be wider, which also limits the effectiveness of using assist features, by constraining the local pitch. Local pitches between 1× and 2× the minimum pitch forbid assist feature placement, as there is simply no room to preserve the local pitch symmetry. In fact, for the application to the two-bar asymmetry case, the optimum assist feature placement may be less than or exceed the two-bar pitch.[156] Depending on the parameter to be optimized (process window area, depth of focus, exposure latitude), the optimum assist feature configuration can be very different, e.g., pitch between assist feature and bar being different from two-bar pitch, symmetric or asymmetric, etc..

At pitches smaller than 58 nm, there is a tradeoff between depth of focus enhancement and contrast loss by assist feature placement.[156] Generally, there is still a focus-exposure tradeoff as the dose window is constrained by the need to have the assist features not print accidentally.

An additional concern comes from shot noise;[158] sub-resolution assist features (SRAFs) cause the required dose to be lower, so as not to print the assist features accidentally.[159] This results in fewer photons defining smaller features (see discussion in section on shot noise).

As SRAFs are smaller features than primary features and are not supposed to receive doses high enough to print, they are more susceptible to stochastic dose variations causing printing errors.[160] This is particularly prohibitive for EUV, where even when the primary feature is printed at 80 mJ/cm2, the SRAF suffers from stochastic printing.

Source-mask optimization[edit]

Pitch effect on SMO. SMO carried out targeted for one pitch may have varying performance for other pitches.

Due to the effects of non-telecentricity, standard illumination pupil shapes, such as disc or annular, are not sufficient to be used for feature sizes of ~20 nm or below (10 nm node and beyond).[90] Instead certain parts of the pupil (often over 50%) must be asymmetrically excluded. The parts to be excluded depend on the pattern. In particular, the densest allowed lines need to be aligned along one direction and prefer a dipole shape. For this situation, double exposure lithography would be required for 2D patterns, due to the presence of both X- and Y-oriented patterns, each requiring its own 1D pattern mask and dipole orientation.[161][162] There may be 200–400 illuminating points, each contributing its weight of the dose to balance the overall image through focus. Thus the shot noise effect (to be discussed later) critically affects the image position through focus, in a large population of features.

Double- or multiple-patterning would also be required if a pattern consists of sub-patterns which require significantly different optimized illuminations, due to different pitches, orientations, shapes, and sizes.

Impact of slit position and aberrations[edit]

Impact of different wavelengths. Different wavelengths effectively have different pupils, resulting in different results of source-mask optimization.

Largely due to the slit shape,[112] and the presence of residual aberrations,[163] the effectiveness of SMO varies across slit position.[164] At each slit position, there are different aberrations[127] and different azimuthal angles of incidence leading to different shadowing.[46] Consequently, there could be uncorrected variations across slit for aberration-sensitive features, which may not be obviously seen with regular line-space patterns.[156] At each slit position, although optical proximity correction (OPC), including the assist features mentioned above, may also be applied to address the aberrations,[165][166] they also feedback into the illumination specification,[167][164][168][169] since the benefits differ for different illumination conditions.[165] This would necessitate the use of different source-mask combinations at each slit position, i.e., multiple mask exposures per layer.[127][170]

The above-mentioned chromatic aberrations, due to mask-induced apodization,[136] also lead to inconsistent source-mask optimizations for different wavelengths.

Pitch-dependent focus windows[edit]

The best focus for a given feature size varies as a strong function of pitch, polarity, and orientation under a given illumination.[171] At 36 nm pitch, horizontal and vertical darkfield features have more than 30 nm difference of focus. The 34 nm pitch and 48 nm pitch features have the largest difference of best focus regardless of feature type. In the 48–64 nm pitch range, the best focus position shifts roughly linearly as a function of pitch, by as much as 10–20 nm.[172] For the 34–48 nm pitch range, the best focus position shifts roughly linearly in the opposite direction as a function of pitch. This can be correlated with the phase difference between the zero and first diffraction orders.[173] Assist features, if they can fit within the pitch, were found not to reduce this tendency much, for a range of intermediate pitches,[174] or even worsened it for the case of 18–27 nm and quasar illumination.[175] 50 nm contact holes on 100 nm and 150 pitches had best focus positions separated by roughly 25 nm; smaller features are expected to be worse.[176] Contact holes in the 48–100 nm pitch range showed a 37 nm best focus range.[177] The best focus position vs. pitch is also dependent on resist.[178] Critical layers often contain lines at one minimum pitch of one polarity, e.g., darkfield trenches, in one orientation, e.g., vertical, mixed with spaces of the other polarity of the other orientation. This often magnifies the best focus differences, and challenges the tip-to-tip and tip-to-line imaging.[179]

Reduction of pupil fill[edit]

Pupil rotation across slit forces use of much lower pupil fill (within the trapezoids or rectangles) for dipole illumination.

A consequence of SMO and shifting focus windows has been the reduction of pupil fill. In other words, the optimum illumination is necessarily an optimized overlap of the preferred illuminations for the various patterns that need to be considered. This leads to lower pupil fill providing better results. However, throughput is affected below 20% pupil fill due to absorption.[180][181][182][183][57]

Phase shift masks[edit]

Phase profile of attenuated phase shift mask for EUV. The phase profile (red) for an attenuated phase shift mask used with a partially transmitting EUV absorber does not match the ideal profile design (dotted), due to oblique incidence illumination and absorber edge scattering.

A commonly touted advantage of EUV has been the relative ease of lithography, as indicated by the ratio of feature size to the wavelength multiplied by the numerical aperture, also known as the k1 ratio. An 18 nm metal linewidth has a k1 of 0.44 for 13.5 nm wavelength, 0.33 NA, for example. For the k1 approaching 0.5, some weak resolution enhancement including attenuated phase shift masks has been used as essential to production with the ArF laser wavelength (193 nm),[184][185][186][187][188][189] whereas this resolution enhancement is not available for EUV.[190][191][192] In particular, 3D mask effects including scattering at the absorber edges distort the desired phase profile.[191] Also, the phase profile is effectively derived from the plane wave spectrum reflected from the multilayer through the absorber rather than the incident plane wave.[193] Without absorbers, near-field distortion also occurs at an etched multilayer sidewall due to the oblique incidence illumination;[194] some light traverses only a limited number of bilayers near the sidewall.[71] Additionally, the different polarizations (TE and TM) have different phase shifts.[71].Fundamentally, a chromeless phase shift mask enables pitch splitting by suppression of the zeroth diffracted order on the mask, but fabricating a high quality phase shift mask for EUV is certainly not a trivial task. One possible way to achieve this is through spatial filtering at the Fourier plane of the mask pattern. At Lawrence Berkeley National Lab, the light of the zeroth order is a centrally obscured system, and the +/-1 diffracted orders will be captured by the clear aperture, providing a functional equivalent to the chromeless phase shift mask while using a conventional binary amplitude mask.[195]

EUV photoresist exposure: the role of electrons[edit]

EUV light generates photoelectrons upon absorption by matter. These photoelectrons in turn generate secondary electrons, which slow down before engaging in chemical reactions.[196] At sufficient doses 40 eV electrons are known to penetrate 180 nm thick resist leading to development.[197] At a dose of 160 μC/cm2, corresponding to 15 mJ/cm2 EUV dose assuming one electron/photon, 30 eV electrons removed 7 nm of PMMA resist after standard development.[198] For a higher 30 eV dose of 380 μC/cm2, equivalent to 36 mJ/cm2 at one electron/photon, 10.4 nm of PMMA resist are removed.[199] These indicate the distances the electrons can travel in resist, regardless of direction.[200]

In the most recent measurement of the significant impact of secondary electrons on resolution, it was found that 93 eV photoelectrons (from a gold underlayer) had a 1/e attenuation length of 28 nm in resist.[201] The electron number attenuation was measured from the fraction of electrons captured in an electric current from the resist. This indicates 37% of the released electrons still migrate beyond 28 nm from the exposure release point. There are two kinds of resists used in EUVL: CARs (Chemically amplified resists) and metal oxide resists containing metal oxide nanoclusters, often containing tin oxide.[202] EUV lithography is unique in the sense it allows for the use of photoresists with metal oxides.[203]

The more highly absorbing resist removes more light in the top of the resist, leaving less for the bottom of the resist. The larger absorption leads to larger, more significant differences between the absorbed doses at the top and the bottom of the resist.

Resist depth Absorption (1/um) Absorption (5/um) Absorption (20/um)
Top 10 nm 1% 5% 18%
10–20 nm deep 1% 4.5% 15%
20–30 nm deep 1% 4.5% 12%
30–40 nm deep 1% 4% 10%
40–50 nm deep 1% 4% 8%

In other words, the less absorbing the resist, the more vertically uniform the absorption. Conventionally, photoresists are made as transparent as possible to strive for this vertical uniformity, which enables straighter resist profiles. On the other hand, for EUV, this conflicts with the goal of increasing absorption for more sensitivity at current EUV power levels. Shot noise is another concern, to be explained further below.

Impact of photoelectron and secondary electron travel on resolution[edit]

Low energy electron migration. Low energy electron travel distance (r) can reach at least several nanometers, even though the distance between electron collisions (scattering) is 1 nm.

A study by the College of Nanoscale Science and Engineering (CNSE) presented at the 2013 EUVL Workshop indicated that, as a measure of EUV photoelectron and secondary electron blur, 50–100 eV electrons easily penetrated beyond 15 nm of resist thickness (PMMA or commercial resist), indicating more than 30 nm range of resist affected centered on the EUV point of absorption, for doses exceeding 200–300 uC/cm2.[204] This can be compared with the image contrast degradation reported for sub-40 nm pitches later in 2015.[205]

The process of electron penetration through a resist is essentially a stochastic process; there is a finite probability that resist exposure by released electrons can occur quite far from the point of photon absorption.[206][207] Increasing the dose increases the number of far-reaching electrons, resulting in more extended resist loss. A leading EUV chemically amplified resist exposed to 80 eV electrons at a dose up to 80 uc/cm2 showed up to 7.5 nm resist thickness loss.[208] For an open-source resist exposed near 200 uC/cm2 by 80 eV electrons, the resist thickness lost after post-exposure bake and development was around 13 nm, while doubling the dose resulted in increasing the loss to 15 nm.[209] On the other hand, for doses >500 uC/cm2, the resist begins to thicken due to crosslinking.[208]

The degree of photoelectron emission from the layer underlying the EUV photoresist has been shown to affect the depth of focus.[210] Unfortunately, hardmask layers tend to increase photoelectron emission, degrading the depth of focus. Electrons from defocused images in the resist can also affect the best focus image.[211]

The generation of photoelectrons in the photoresist itself is a fundamental part of the image formation, and is marked by a random spread which increases with dose.[212][213][214]

The randomness of the number of secondary electrons is itself a source of stochastic behavior in EUV resist images.[215][214] The scale length of electron blur itself has a distribution.[216][217][218][219] Intel demonstrated with a rigorous simulation that EUV-released electrons scatter distances larger than 15 nm in EUV resists.[220][221]

The electron blur is also affected by total internal reflection from the top surface of the resist film.[222][223]

Secondary electron blur vs. dose[edit]

Direct photocurrent measurements have been used to obtain secondary electron yields in response to EUV radiation. Simulations roughly calibrated to this yield show that the secondary electron blur increases with dose.[224] This is associated with fewer trapping sites as dose is increased and the reactions depleting the trapping sites proceed.

Low-energy electron-induced events also increase in number at a given distance from the photon absorption site, as dose is increased.[225] This has been confirmed by resist thickness loss measurements as a function of low-energy electron dose.[226] The dose-dependent spread of secondary electrons was also known before from electron beam lithography.[227]

The increased secondary electron blur with increased dose makes control of stochastic defects more difficult.[228]

Effect of underlying layers[edit]

Electrons from layers under the resist can affect the profile and onset of collapse.

Secondary electrons from layers underneath the resist can affect the resist profile as well as pattern collapse.[229] Hence, selection of such both the underlayer and the layer under that layer are important considerations for EUV lithography. Moreover, the electrons from defocused images can aggravate the stochastic nature of the image.[230]

Charging and electron trapping[edit]

Due to the production of secondary electrons of various energies, the charge of the resist may locally fluctuate.[231] An EUV exposure with less blur leads to more pronounced charge differences at the feature edge,[232] which can lead to larger electric fields.[233] Such large electric fields have been observed to lead to dielectric breakdown.[231] The trapping of secondary electrons leads to a reduction of secondary electrons emitted from the film;[231] however, the trap sites may themselves be depleted, resulting in effectively extended secondary electron blur for larger doses.[224] Electron trapping is predicted to occur as part of polaronic behavior,[234][235] which confines the electron's final energy deposition to trap site locations. The polaron size can be quite large in resists, e.g., 46 nm in PMMA.[235]

Contamination effects[edit]

Resist outgassing[edit]

Outgassing contamination vs. EUV dose: The increase of dose to size (Esize) to reduce shot noise and roughness comes at price of increased contamination from outgassing. The contamination thickness shown here is relative to a reference resist.

Due to the high efficiency of absorption of EUV by photoresists, heating and outgassing become primary concerns. One well-known issue is contamination deposition on the resist from ambient or outgassed hydrocarbons, which results from EUV- or electron-driven reactions.[236] Organic photoresists outgas hydrocarbons[237] while metal oxide photoresists outgas water and oxygen[238] and metal (in a hydrogen ambient); the last is uncleanable.[55] The carbon contamination is known to affect multilayer reflectivity[239] while the oxygen is particularly harmful for the ruthenium capping layers (relatively stable under EUV and hydrogen conditions) on the EUV multilayer optics.[240]

Tin redeposition[edit]

Atomic hydrogen in the tool chambers is used to clean tin and carbon which deposit on the EUV optical surfaces.[241] Atomic hydrogen is produced by EUV light directly photoionizing H2:

hν + H2 → H+ + H + e[242]

Electrons generated in the above reaction may also dissociate H2 to form atomic hydrogen:

e + H2 → H+ + H + 2e[242]

The reaction with tin in the light source (e.g., tin on an optical surface in the source) to form volatile SnH4 (stannane) that can be pumped out from the source proceeds via the reaction:

Sn (s) + 4H (g) → SnH4 (g).[241]

The SnH4 can reach the coatings of other EUV optical surfaces, where it redeposits Sn via the reaction:

SnH4 → Sn (s) + 2H2 (g).[241]

Redeposition may also occur by other intermediate reactions.[243]

The redeposited Sn[54][55] might be subsequently removed by atomic hydrogen exposure. However, overall, the tin cleaning efficiency (the ratio of the removed tin flux from a tin sample to the atomic hydrogen flux to the tin sample) is less than 0.01%, due to both redeposition and hydrogen desorption, leading to formation of hydrogen molecules at the expense of atomic hydrogen.[241] The tin cleaning efficiency for tin oxide is found roughly twice higher than that of tin (with a native oxide layer of ~ 2 nm on it).[241] Injecting a small amount of oxygen to the light source may improve the tin cleaning rate.

Hydrogen blistering[edit]

Hydrogen-induced blistering defects. Atomic hydrogen (red dots) used for cleaning surfaces can penetrate underneath the surface. In the Mo/Si multilayers, H2 (paired red dots) is formed and trapped, resulting in blister (white region) formation.

Hydrogen also reacts with metal-containing compounds to reduce them to metal,[244] and diffuses through the silicon[245] and molybdenum[246] in the multilayer, eventually causing blistering.[247][248][249] Capping layers that mitigate hydrogen-related damage often reduce reflectivity to well below 70%.[248] Capping layers are known to be permeable to ambient gases including oxygen[250] and hydrogen,[251][252][253][254] as well as susceptible to the hydrogen-induced blistering defects.[255][247] Hydrogen may also react with the capping layer, resulting in its removal.[256]

Tin spitting[edit]

Hydrogen can penetrate molten tin (Sn), creating hydrogen bubbles inside it. If the bubbles move at the molten tin surface, then it bursts with tin, resulting in tin spreading over a large angle range. This phenomenon is called tin spitting and is one of EUV Collector contamination sources.

Resist erosion[edit]

Hydrogen also reacts with resists to etch[257][258] or decompose[259] them. Besides photoresist, hydrogen plasmas can also etch silicon, albeit very slowly.[260][non-primary source needed]

Membrane[edit]

To help mitigate the above effects, the latest EUV tool introduced in 2017, the NXE:3400B, features a membrane that separates the wafer from the projection optics of the tool, protecting the latter from outgassing from the resist on the wafer.[57] The membrane contains layers which absorb DUV and IR radiation, and transmits 85–90% of the incident EUV radiation. There is of course, accumulated contamination from wafer outgassing as well as particles in general (although the latter are out of focus, they may still obstruct light).

EUV-induced plasma[edit]

Electron charging from the EUV-induced plasma occurs even outside the EUV exposure area (purple borders)

EUV lithographic systems using EUV light operate in 1–10 Pa hydrogen background gas.[261] The plasma is a source of VUV radiation[262] as well as electrons and hydrogen ions[263] This plasma is known to etch exposed materials.[263][264] The plasma radiation also constitutes non-EUV exposure to EUV resists, which will result in increased stochastic defect density.[265]

In 2023, a study supported at TSMC was published which indicated net charging by electrons from the plasma as well as from electron emission.[266] The charging was found to occur even outside the EUV exposure area, indicating that the surrounding area had been exposed to electrons.

Mask defects[edit]

EUV defect printability vs. pitch. The printability (here 10% CD) of a defect of a given height and width varies with pitch. Note that even the surface roughness on the multilayer here can have noticeable impact.

Reducing defects on extreme ultraviolet (EUV) masks is currently one of the most critical issues to be addressed for commercialization of EUV lithography.[267] Defects can be buried underneath or within the multilayer stack[268] or be on top of the multilayer stack. Mesas or protrusions form on the sputtering targets used for multilayer deposition, which may fall off as particles during the multilayer deposition.[269] In fact, defects of atomic scale height (0.3–0.5 nm) with 100 nm FWHM can still be printable by exhibiting 10% CD impact.[270] IBM and Toppan reported at Photomask Japan 2015 that smaller defects, e.g., 50 nm size, can have 10% CD impact even with 0.6 nm height, yet remain undetectable.[271]

Furthermore, the edge of a phase defect will further reduce reflectivity by more than 10% if its deviation from flatness exceeds 3 degrees, due to the deviation from the target angle of incidence of 84 degrees with respect to the surface. Even if the defect height is shallow, the edge still deforms the overlying multilayer, producing an extended region where the multilayer is sloped. The more abrupt the deformation, the narrower the defect edge extension, the greater the loss in reflectivity.

EUV mask defect repair is also more complicated due to the across-slit illumination variation mentioned above. Due to the varying shadowing sensitivity across the slit, the repair deposition height must be controlled very carefully, being different at different positions across the EUV mask illumination slit.[272]

Multilayer reflectivity random variations[edit]

GlobalFoundries and Lawrence Berkeley Labs carried out a Monte Carlo study to simulate the effects of intermixing between the molybdenum (Mo) and silicon (Si) layers in the multilayer that is used to reflect EUV light from the EUV mask.[273] The results indicated high sensitivity to the atomic-scale variations of layer thickness. Such variations could not be detected by wide-area reflectivity measurements but would be significant on the scale of the critical dimension (CD).[273] The local variation of reflectivity could be on the order of 10% for a few nm standard deviation.[274]

Multilayer damage[edit]

Multiple EUV pulses at less than 10 mJ/cm2 could accumulate damage to a Ru-capped Mo/Si multilayer mirror optic element.[275] The angle of incidence was 16° or 0.28 rads, which is within the range of angles for a 0.33 NA optical system.

Pellicles[edit]

Production EUV tools need a pellicle to protect the mask from contamination. Pellicles are normally expected to protect the mask from particles during transport, entry into or exit from the exposure chamber, as well as the exposure itself. Without pellicles, particle adders would reduce yield, which has not been an issue for conventional optical lithography with 193 nm light and pellicles. However, for EUV, the feasibility of pellicle use is severely challenged, due to the required thinness of the shielding films to prevent excessive EUV absorption. Particle contamination would be prohibitive if pellicles were not stable above 200 W, i.e., the targeted power for manufacturing.[276]

Heating of the EUV mask pellicle (film temperature up to 750 K for 80 W incident power) is a significant concern, due to the resulting deformation and transmission decrease.[277] ASML developed a 70 nm thick polysilicon pellicle membrane, which allows EUV transmission of 82%; however, less than half of the membranes survived expected EUV power levels.[278] SiNx pellicle membranes also failed at 82 W equivalent EUV source power levels.[279] At target 250 W levels, the pellicle is expected to reach 686 degrees Celsius,[280] well over the melting point of aluminum. Alternative materials need to allow sufficient transmission as well as maintain mechanical and thermal stability. However, graphite, graphene or other carbon nanomaterials (nanosheets, nanotubes) are damaged by EUV due to the release of electrons[281] and also too easily etched in the hydrogen cleaning plasma expected to be deployed in EUV scanners.[282] Hydrogen plasmas can also etch silicon as well.[283][284] A coating helps improve hydrogen resistance, but this reduces transmission and/or emissivity, and may also affect mechanical stability (e.g., bulging).[285]

Wrinkles on pellicles can cause CD nonuniformity due to uneven absorption; this is worse for smaller wrinkles and more coherent illumination, i.e., lower pupil fill.[286]

In the absence of pellicles, EUV mask cleanliness would have to be checked before actual product wafers are exposed, using wafers specially prepared for defect inspection.[287] These wafers are inspected after printing for repeating defects indicating a dirty mask; if any are found, the mask must be cleaned and another set of inspection wafers are exposed, repeating the flow until the mask is clean. Any affected product wafers must be reworked.

TSMC reported starting limited use of its own pellicle in 2019 and continuing to expand afterwards,[288] and Samsung is planning pellicle introduction in 2022.[289]

Hydrogen bulging defects[edit]

As discussed above, with regard to contamination removal, hydrogen used in recent EUV systems can penetrate into the EUV mask layers. Once trapped, bulge defects were produced.[255] These are essentially the blister defects which arise after a sufficient number of EUV mask exposures in the hydrogen environment.

Throughput-scaling limits[edit]

EUV stochastic issues[edit]

Shot noise causing significant CD variations

EUV lithography is particularly sensitive to stochastic effects.[290][291] In a large population of features printed by EUV, although the overwhelming majority are resolved, some suffer complete failure to print, e.g. missing holes or bridging lines. A known significant contribution to this effect is the dose used to print.[292] This is related to shot noise, to be discussed further below. Due to the stochastic variations in arriving photon numbers, some areas designated to print actually fail to reach the threshold to print, leaving unexposed defect regions. The lower part of the resist layer is more susceptible to underexposure, due to absorption in the upper part.[293] Some areas may be overexposed, leading to excessive resist loss or crosslinking. The probability of stochastic failure increases exponentially as feature size decreases, and for the same feature size, increasing distance between features also significantly increases the probability.[292][294] Line cuts which are misshapen are a significant issue due to potential arcing and shorting.[295] Yield requires detection of stochastic failures down to below 1e-12.[292]

The tendency to stochastic defects is worse when the image consists of photons from different patterns, such as from a large-area pattern[296] or from defocus over a large pupil fill.[297][298]

Stochastic defects tend to occur where there is stochastic absorbed dose variation near the threshold dose. These are indicated by where the blue specks tend to collect.

Multiple failure modes may exist for the same population. For example, besides bridging of trenches, the lines separating the trenches may be broken.[292] This can be attributed to stochastic resist loss,[290] from secondary electrons.[209][299] The randomness of the number of secondary electrons is itself a source of stochastic behavior in EUV resist images.[215]

Some patterns are more vulnerable to stochastic defects, due to pinching between corners,[300] sidelobes,[301] or the gap between spots.[302][303]

The coexistence of stochastically underexposed and overexposed defect regions leads to a loss of dose window at a certain post-etch defect level between the low-dose and high-dose patterning cliffs.[304][305][306][307] Hence, the resolution benefit from shorter wavelength is lost.

The resist underlayer also plays an important role.[292] This could be due to the secondary electrons generated by the underlayer.[205] Secondary electrons may remove over 10 nm of resist from the exposed edge.[209][308]

The defect level is on the order of 1K/mm2.[309] In 2020, Samsung reported that 5 nm layouts had risks for process defects and had started implementing automated check and fixing.[310]

Photon shot noise can be linked to stochastic defects through the presence of dose-dependent blur (modeled as Gaussian).[311][312] Regions of larger blur can become locations of stochastic defects.[313]

Photon shot noise also leads to stochastic edge placement error,[314][315][316] which can exceed 1 nm.[317] The error can exceed 2 nm when considering the gap between two point spread functions.[318]

Line end position affected by shot noise. The natural variation of EUV photon number can cause the position of the line end to shift.

The photon shot noise is augmented to some degree by blurring factors such as secondary electrons or acids in chemically amplified resists; when significant the blur also reduces the image contrast at the edge.[319] The blur can also arise from image fading.[320] Since the blur is less than the feature size, the feature's total enclosed area can still have fluctuations.[321] It is worse for EUV than DUV wavelengths due to lower photon density at commonly used doses, as well as smaller feature sizes being represented by smaller pixels.[322]

Even with blur taken into account, the final distribution of chemical species is still stochastic. Higher dose helps reduce the stochasticity but leads to larger blur, which can spread the extent of the stochastic fluctuations.[323]

Another approach to dealing with stochastic issues is to use directional etching to smooth sidewall edges.[324]

The resolution of EUV lithography for the future faces challenges in maintaining throughput, i.e., how many wafers are processed by an EUV tool per day. These challenges arise from smaller fields, additional mirrors, and shot noise. In order to maintain throughput, the power at intermediate focus (IF) must be continually increased.

With the natural Poisson distribution due to the random arrival and absorption times of the photons,[325][326] there is an expected natural dose (photon number) variation of at least several percent 3 sigma, making the exposure process susceptible to stochastic variations. The dose variation leads to a variation of the feature edge position, effectively becoming a blur component. Unlike the hard resolution limit imposed by diffraction, shot noise imposes a softer limit, with the main guideline being the ITRS line width roughness (LWR) spec of 8% (3s) of linewidth.[327] Increasing the dose will reduce the shot noise,[328] but this also requires higher source power.

A 10 nm wide, 10 nm long assist feature region, at a target non-printing dose of 15 mJ/cm2, with 10% absorption, is defined by just over 100 photons, which leads to a 6s noise of 59%, corresponding to a stochastic dose range of 6 to 24 mJ/cm2, which could affect the printability.

A 2017 study by Intel showed that for semi-isolated vias (whose Airy disk can be approximated by a Gaussian), the sensitivity of CD to dose was particularly strong,[329] strong enough that a reduction of dose could nonlinearly lead to failure to print the via.

The two issues of shot noise and EUV-released electrons point out two constraining factors: 1) keeping dose high enough to reduce shot noise to tolerable levels, but also 2) avoiding too high a dose due to the increased contribution of EUV-released photoelectrons and secondary electrons to the resist exposure process, increasing the edge blur and thereby limiting the resolution. Aside from the resolution impact, higher dose also increases outgassing[330] and limits throughput, and crosslinking[331] occurs at very high dose levels. For chemically amplified resists, higher dose exposure also increases line edge roughness due to acid generator decomposition.[332]

Even with higher absorption at the same dose, EUV has a larger shot noise concern than the ArF (193 nm) wavelength, mainly because it is applied to thinner resists.[293]

Wavelength Resist type Absorbance Thickness Absorption Target dose Absorbed photon dose Quantum yield[333] Acids/nm2 Blur Acids/blur2
ArF (193 nm) CAR 1.2/μm[334] 0.08 μm 9% 30 mJ/cm2[335] 27 photons/nm2 0.33 9 10 nm 900
EUV (13.5 nm) CAR 5/μm[336] 0.05 μm 22% 30 mJ/cm2[337] 4.5 photons/nm2 2 9 5 nm 225
EUV (13.5 nm) Metal oxide 20/μm[336] 0.02 μm 33% 30 mJ/cm2[337] 7 photons/nm2 N/A N/A 3 nm N/A

As can be seen above, at the target incident dose levels, significantly fewer EUV photons are absorbed in EUV resists compared to ArF photons in ArF resists. Despite greater transparency of the resist, the incident photon flux is about 14 times larger (193/13.5) for the same energy dose per unit area. Quantum yield makes the resist response comparable for chemically amplified resists for both ArF and EUV wavelengths, but ArF resists also allow larger blur, enabling further smoothing.[333] The resist thickness is limited by transparency as well as resist collapse[338] and resist strip[339] considerations.

Due to stochastic considerations, the IRDS 2022 lithography roadmap now acknowledges increasing doses for smaller feature sizes.[340][341] However, even increasing the dose a factor of 4 may not be sufficient to eliminate stochastic defects, while at the same hurting throughput.[303]

EUV resolution will likely be compromised by stochastic effects. Smaller pitches will be more adversely affected by photon shot noise and blur, with defects already apparent at 30 nm.[342][343] ASML indicated 30 nm pitch would not use direct exposure but double patterning.[344] Intel did not use EUV for 30 nm pitch.[345]

Current stochastic defect densities have exceeded 1/cm2, at 36 nm pitch; this gets worse for smaller pitches, and entails that EUV exposures should only include sufficiently larger pitches.[346][347][348]

Pupil Fill Ratio[edit]

As pitch decreases, a smaller fraction of pupil (fewer illumination angle) may be used.

For pitches less than half-wavelength divided by numerical aperture, dipole illumination is necessary. This illumination fills at most a leaf-shaped area at the edge of the pupil. However, due to 3D effects in the EUV mask,[349] smaller pitches require even smaller portions of this leaf shape. Below 20% of the pupil, the throughput and dose stability begin to suffer.[57] Higher numerical aperture allows a higher pupil fill to be used for the same pitch, but depth of focus is significantly reduced.[350]

Use with multiple-patterning[edit]

EUV is anticipated to use double-patterning at around 34 nm pitch with 0.33 NA.[351][352] This resolution is equivalent to '1Y' for DRAM.[353][354] In 2020, ASML reported that 5 nm M0 layer (30 nm minimum pitch) required double-patterning.[344] In H2 2018, TSMC confirmed that its 5 nm EUV scheme still used multi-patterning,[355] also indicating that mask count did not decrease from its 7 nm node, which used extensive DUV multi-patterning, to its 5 nm node, which used extensive EUV.[356] EDA vendors also indicated the continued use of multi-patterning flows.[357][358] While Samsung introduced its own 7 nm process with EUV single-patterning,[359] it encountered severe photon shot noise causing excessive line roughness, which required higher dose, resulting in lower throughput.[325] TSMC's 5 nm node uses even tighter design rules.[360] Samsung indicated smaller dimensions would have more severe shot noise.[325]

At 38 nm center-to-center spacing or less, a 0.33 NA EUV tool would require double- or even triple-patterning for the contact or via layer.

In Intel's complementary lithography scheme at 20 nm half-pitch, EUV would be used only in a second line-cutting exposure after a first 193 nm line-printing exposure.[361]

Multiple exposures would also be expected where two or more patterns in the same layer, e.g., different pitches or widths, must use different optimized source pupil shapes.[362][363][364][365] For example, when considering a staggered bar array of 64 nm vertical pitch, changing the horizontal pitch from 64 nm to 90 nm changes the optimized illumination significantly.[58] Source-mask optimization that is based on line-space gratings and tip-to-tip gratings only does not entail improvements for all parts of a logic pattern, e.g., a dense trench with a gap on one side.[362][366]

In 2020, ASML reported that for the 3 nm node, center-to-center contact/via spacings of 40 nm or less would require double- or triple-patterning for some contact/via arrangements.[367]

For the 24–36 nm metal pitch, it was found that using EUV as a (second) cutting exposure had a significantly wider process window than as a complete single exposure for the metal layer.[368][362]

Multiple exposures of the same mask are also expected for defect management without pellicles, limiting productivity similarly to multiple-patterning.[287]

Self-aligned litho-etch-litho-etch (SALELE) is a hybrid SADP/LELE technique whose implementation has started in 7 nm[369] and continued use in 5 nm.[370] Self-aligned litho-etch-litho-etch (SALELE) has become an accepted form of double-patterning to be used with EUV, starting at the 5 nm node, where some layers are 28 nm pitch.[370]

Single-patterning extension: anamorphic high-NA[edit]

Sidelobes are a greater risk with stochastic variations, at a certain center-to-center distance. This risk is elevated due to the central obscuration in High-NA EUV systems.

A return to extended generations of single-patterning would be possible with higher numerical aperture (NA) tools. An NA of 0.45 could require retuning of a few percent.[371] Increasing demagnification could avoid this retuning, but the reduced field size severely affects large patterns (one die per 26 mm × 33 mm field) such as the many-core multi-billion transistor 14 nm Xeon chips.[372] by requiring field stitching of two mask exposures.[373]

Central diffraction order obscuration forbids certain combinations of minimum pitches with larger pitches (red).

In 2015, ASML disclosed details of its anamorphic next-generation EUV scanner, with an NA of 0.55. The demagnification is increased from 4× to 8× only in one direction (in the plane of incidence).[374] However, the 0.55 NA has a much smaller depth of focus than immersion lithography.[375] Also, an anamorphic 0.52 NA tool has been found to exhibit too much CD and placement variability for 5 nm node single exposure and multi-patterning cutting.[376]

Depth of focus[377] being reduced by increasing NA is also a concern,[378][373] especially in comparison with multi-patterning exposures using 193 nm immersion lithography:

Wavelength Refractive index NA DOF (normalized)[377]
193 nm 1.44 1.35 1
13.3–13.7 nm 1 0.33 1.17
13.3–13.7 nm 1 0.55 0.40

High-NA EUV tools also suffer from obscuration, which can cause errors in the imaging of certain patterns.[373][379][380][381][382][383][384] In particular, sidelobe peaks and valleys may print stochastically.[385]

The first high-NA tools are expected at Intel by 2025 at earliest.[373][386]

Due to the combined impact of obscuration and cross-slit rotation, throughput is expected to be limited at 1.x nm nodes.[387]

For sub-2nm nodes, high-NA EUV systems will be affected by a host of issues: throughput, new masks, polarization, thinner resists, and secondary electron blur and randomness.[388] Reduced depth of focus requires resist thickness less than 30 nm, which in turn increases stochastic effects, due to reduced photon absorption.[389]

While targeting sub-20 nm pitches, high-NA EUV systems are not as suitable (compared to low-NA) for larger pitches where the pupil fill for sufficient depth of focus is below 20%.[390]

Electron blur is estimated to be at least ~2 nm, which is enough to thwart the benefit of High-NA EUV lithography.[343][391][392][393]

Beyond EUV wavelength[edit]

A much shorter wavelength (~6.7 nm) would be beyond EUV, and is often referred to as BEUV (beyond extreme ultraviolet).[394] With current technology, BEUV wavelengths would have worse shot noise effects without ensuring sufficient dose.[395]

References[edit]

  1. ^ O'Sullivan, Gerry; Li, Bowen; D'Arcy, Rebekah; Dunne, Padraig; Hayden, Paddy; Kilbane, Deirdre; McCormack, Tom; Ohashi, Hayato; O'Reilly, Fergal; Sheridan, Paul; Sokell, Emma; Suzuki, Chihiro; Higashiguchi, Takeshi (2015). "Spectroscopy of highly charged ions and its relevance to EUV and soft x-ray source development". Journal of Physics B: Atomic, Molecular and Optical Physics. 48 (144025): 144025. Bibcode:2015JPhB...48n4025O. doi:10.1088/0953-4075/48/14/144025. S2CID 124221931.
  2. ^ G. Yeap et al., 36.7,IEDM 2019.
  3. ^ O. Adan and K. Houchens, Proc. SPIE 10959, 1095904 (2019).
  4. ^ J. C. Liu et al., IEDM 2020.
  5. ^ S-Y. Wu et al., IEDM 2016.
  6. ^ Schor, David (October 19, 2019). "Samsung 5 nm and 4 nm Update".
  7. ^ Bjorkholm, J.; Bokor, J.; Eichner, L.; Freeman, R.; Mansfield, W.; Szeto, L.; Taylor, D.; Tennant, D.; Wood II, O.; Jewell, T.; White, D.; Waskiewicz, W.; Windt, D.; MacDowell, A. (1991). "Soft x-ray projection lithography". Optics and Photonics News. 2 (5): 27. doi:10.1364/OPN.2.5.000027.
  8. ^ "Making EUV: From lab to fab".
  9. ^ Bakshi, Vivek, ed. (2018). EUV lithography. SPIE PM (Second ed.). Bellingham, Washington, USA: SPIE Press. ISBN 978-1-5106-1679-0.
  10. ^ "U.S. gives ok to ASML on EUV effort". EE Times. 24 February 1999. Retrieved 17 May 2023.
  11. ^ "Our history - Supplying the semiconductor industry".
  12. ^ "Inside the machine that saved Moore's Law".
  13. ^ Sascha Migura (2018). "Optics for EUV Lithography" (PDF). euvlitho.com. Retrieved 17 May 2023.
  14. ^ "The Chip Choke Point TheWire China". 8 February 2021.
  15. ^ "Overview of EUV Mask Metrology" (PDF). Archived from the original (PDF) on 2017-06-02. Retrieved 2019-06-23.
  16. ^ https://www.semiconductor-digest.com/wp-content/uploads/2020/02/SST-Oct-2013.pdf
  17. ^ Montcalm, C. (March 10, 1998). Multilayer reflective coatings for extreme-ultraviolet lithography. 23. SPIE annual international symposium on microlithography conference, Santa Clara, CA (United States), 22-27 Feb 1998. OSTI 310916.
  18. ^ "Next EUV Issue: Mask 3D Effects". April 25, 2018.
  19. ^ https://www.semiconductor-digest.com/wp-content/uploads/2020/02/SST-Oct-2013.pdf
  20. ^ Krome, Thorsten; Schmidt, Jonas; Nesládek, Pavel (2018). "EUV capping layer integrity". In Takehisa, Kiwamu (ed.). Photomask Japan 2018: XXV Symposium on Photomask and Next-Generation Lithography Mask Technology. p. 8. doi:10.1117/12.2324670. ISBN 978-1-5106-2201-2. S2CID 139227547.
  21. ^ "Optimized capping layers for EUV multilayers".
  22. ^ "Semiconductor Engineering - EUV Mask Blank Battle Brewing". November 15, 2018.
  23. ^ https://www.semiconductor-digest.com/wp-content/uploads/2020/02/SST-Oct-2013.pdf
  24. ^ Matsumoto, Hiroshi; Yamaguchi, Keisuke; Kimura, Hayato; Nakayamada, Noriaki (August 23, 2021). "Multi-beam mask writer, MBM-2000". In Ando, Akihiko (ed.). Photomask Japan 2021: XXVII Symposium on Photomask and Next-Generation Lithography Mask Technology. Vol. 11908. SPIE. pp. 175–180. doi:10.1117/12.2604378. ISBN 9781510646858. S2CID 233503067 – via www.spiedigitallibrary.org.
  25. ^ Waiblinger, M.; Kornilov, K.; Hofmann, T.; Edinger, K. (May 15, 2010). "e-beam induced EUV photomask repair: a perfect match". In Behringer, Uwe F.W.; Maurer, Wilhelm (eds.). 26th European Mask and Lithography Conference. Vol. 7545. SPIE. pp. 243–250. doi:10.1117/12.863542. S2CID 137488152 – via www.spiedigitallibrary.org.
  26. ^ https://www.semiconductor-digest.com/wp-content/uploads/2020/02/SST-Oct-2013.pdf
  27. ^ EUV Lithography. SPIE Press. 2009. ISBN 978-0-8194-6964-9.
  28. ^ Handbook of Photomask Manufacturing Technology. CRC Press. 3 October 2018. ISBN 978-1-4200-2878-2.
  29. ^ Tomie, Toshihisa (2012-05-21). "Tin laser-produced plasma as the light source for extreme ultraviolet lithography high-volume manufacturing: history, ideal plasma, present status, and prospects". Journal of Micro/Nanolithography, MEMS, and MOEMS. 11 (2): 021109–1. doi:10.1117/1.JMM.11.2.021109. ISSN 1932-5150.
  30. ^ Elg, Daniel T.; Sporre, John R.; Panici, Gianluca A.; Srivastava, Shailendra N.; Ruzic, David N. (2016). "In situ collector cleaning and extreme ultraviolet reflectivity restoration by hydrogen plasma for extreme ultraviolet sources" (PDF). Journal of Vacuum Science & Technology A. 34 (21305): 021305. Bibcode:2016JVSTA..34b1305E. doi:10.1116/1.4942456.
  31. ^ Bosgra, Jeroen; Zoethout, Erwin; van der Eerden, Ad M. J.; Verhoeven, Jan; van de Kruijs, Robbert W. E.; Yakshin, Andrey E.; Bijkerk, Fred (2012). "Structural properties of subnanometer thick Y layers in extreme ultraviolet multilayer mirrors". Applied Optics. 51 (36): 8541–8548. Bibcode:2012ApOpt..51.8541B. doi:10.1364/AO.51.008541. PMID 23262592.
  32. ^ H. Komori et al., Proc. SPIE 5374, pp. 839–846 (2004).
  33. ^ B. A. M. Hansson et al., Proc. SPIE 4688, pp. 102–109 (2002).
  34. ^ S. N. Srivastava et al., J. Appl. Phys. 102, 023301 (2007).
  35. ^ "StackPath". www.laserfocusworld.com. 26 February 2009.
  36. ^ "H. S. Kim, Future of Memory Devices and EUV Lithography, 2009 EUV Symposium" (PDF). Archived from the original (PDF) on 2015-07-10. Retrieved 2012-10-25.
  37. ^ H. Mizoguchi, "Laser Produced Plasma EUV Light Source Gigaphoton Update," EUVL Source Workshop, May 12, 2008.
  38. ^ "Cookies op ed.nl | ed.nl".
  39. ^ ASML 2020 Annual Report, p.68.
  40. ^ "Gigaphoton" (PDF). Archived from the original (PDF) on 9 July 2020. Retrieved 17 May 2023.
  41. ^ "Cymer SPIE 2018" (PDF).
  42. ^ "Zeiss 2018 EUVL Workshop update" (PDF).
  43. ^ "SPIE 2007 paper" (PDF). Archived from the original (PDF) on 2017-08-12. Retrieved 2018-07-28.
  44. ^ "ASML, 2016 EUVL Workshop, p.14" (PDF).
  45. ^ Y. Wang and Y. Liu, Proc. SPIE 9283, 928314 (2014).
  46. ^ a b c "R. Capelli et al., Proc. SPIE 9231, 923109 (2014)" (PDF). Archived from the original (PDF) on 10 August 2017. Retrieved 17 May 2023.
  47. ^ "M. van den Brink et al., Proc. SPIE 2726 (1996)" (PDF). Archived from the original (PDF) on 2017-08-09. Retrieved 2018-07-17.
  48. ^ Schmoeller, Thomas; Klimpel, T; Kim, I; F. Lorusso, G; Myers, A; Jonckheere, Rik; Goethals, Anne-Marie; Ronse, K (14 March 2008). "EUV pattern shift compensation strategies - art. no. 69211B". Proceedings of SPIE. 6921. doi:10.1117/12.772640. S2CID 121926142 – via ResearchGate.
  49. ^ A. N. Broers, IEEE Trans. Elec. Dev. 28, 1268 (1981).
  50. ^ Tao, Y.; et al. (2005). "Characterization of density profile of laser-produced Sn plasma for 13.5 nm extreme ultraviolet source". Appl. Phys. Lett. 86 (20): 201501. Bibcode:2005ApPhL..86t1501T. doi:10.1063/1.1931825.
  51. ^ "Abstract 107 Last Page". www.nifs.ac.jp.
  52. ^ I. Fomenkov et al., Adv. Opt. Tech. 6, 173 (2017).
  53. ^ I. V. Fomenkov, Proc. SPIE 10957, 1095719 (2019).
  54. ^ a b "Rutgers University Department of Physics and Astronomy" (PDF). www.physics.rutgers.edu. Archived from the original (PDF) on 2016-12-20. Retrieved 2016-12-14.
  55. ^ a b c "Metal outgassing study at EIDEC" (PDF).
  56. ^ R. Rokitski et al., Proc. SPIE 7640, 76401Q (2010).
  57. ^ a b c d M. van de Kerkhof et al., Proc. SPIE 10143, 101430D (2017).
  58. ^ a b Y. Chen et al., J.Vac. Sci. Tech. B35, 06G601 (2017).
  59. ^ a b c d e "H. Mizoguchi et al., 2017 EUV-FEL Workshop, p. 4" (PDF).
  60. ^ Pinning Down an EUV Resist's Resolution vs. Throughput
  61. ^ I. Seshadri et al., IEDM 2023.
  62. ^ "Assessing EUV Wafer Output: 2019-2022". www.linkedin.com.
  63. ^ C. Smeets et al., Proc. SPIE 12494, 1249406 (2023).
  64. ^ Paetzel, R.; et al. (2003). Yen, Anthony (ed.). "Excimer lasers for superhigh NA 193-nm lithography". Proc. SPIE. Optical Microlithography XVI. 5040: 1665. Bibcode:2003SPIE.5040.1665P. doi:10.1117/12.485344. S2CID 18953813.
  65. ^ Harilal, S. S.; et al. (2006). "Spectral control of emissions from tin doped targets for extreme ultraviolet lithography". J. Phys. D. 39 (3): 484–487. Bibcode:2006JPhD...39..484H. doi:10.1088/0022-3727/39/3/010. S2CID 34621555.
  66. ^ T. Asayama et al., Proc. SPIE vol. 8683, 86831G (2013).
  67. ^ "ASML update Nov. 2013, Dublin" (PDF).
  68. ^ L. Peters, "Double Patterning Leads Race for 32 nm", Semiconductor International, October 18, 2007.
  69. ^ M. Sugawara et al., J. Vac. Sci. Tech. B 21, 2701 (2003).
  70. ^ "What is Shadowing in EUV Lithography?" – via www.youtube.com.
  71. ^ a b c Yunfei Deng; Bruno M. La Fontaine; Harry J. Levinson; Andrew R. Neureuther (2003). "Rigorous EM simulation of the influence of the structure of mask patterns on EUVL imaging". In Roxann L. Engelstad (ed.). Emerging Lithographic Technologies VII. Vol. 5037. doi:10.1117/12.484986. S2CID 137035695.
  72. ^ G. McIntyre et al., Proc. SPIE vol. 7271, 72711C (2009).
  73. ^ T. Last et al., Proc. SPIE 9985, 99850W (2016).
  74. ^ a b T. Last et al., Proc. SPIE vol. 10143, 1014311 (2017).
  75. ^ W. Gao et al., Proc. SPIE vol. 10143, 101430I (2017).
  76. ^ "Polarization by Reflection in EUV Lithography Systems" – via www.youtube.com.
  77. ^ "The Growing Significance of Polarization in EUV Lithography". www.linkedin.com.
  78. ^ "The Uncertain Phase Shifts of EUV Masks".
  79. ^ "Pattern Shifts Induced by Dipole-Illuminated EUV Masks". www.linkedin.com.
  80. ^ M. Burkhardt et al., Proc. SPIE 10957, 1095710 (2019).
  81. ^ A. Erdmann, P. Evanschitzky, T. Fuhrer, Proc. SPIE 7271, 72711E (2009).
  82. ^ "Double Diffraction Model of EUV Masks" – via www.youtube.com.
  83. ^ "Double Diffraction in EUV Masks: Seeing Through The Illusion of Symmetry". www.linkedin.com.
  84. ^ "EUV Mask Flatness Requirements" (PDF). Archived from the original (PDF) on 2015-06-26. Retrieved 2015-06-26.
  85. ^ T. Schmoeller et al., Proc. SPIE vol. 6921, 69211B (2008).
  86. ^ P. Liu et al., Proc. SPIE vol. 8679, 86790W (2013).
  87. ^ a b M. Sugawara et al., Proc. SPIE 9048, 90480V (2014).
  88. ^ a b X. Chen et al., Proc. SPIE 10143, 101431F (2017).
  89. ^ "ASML: Products - TWINSCAN NXE:3400B". asml.com. Archived from the original on 2018-12-15. Retrieved 2017-07-02.
  90. ^ a b X. Liu et al., Proc. SPIE vol. 9048, 90480Q (2014).
  91. ^ O. Wood et al., Proc. SPIE 10450, 1045008 (2017).
  92. ^ S. Yoshitake et al., EUV Mask Flatness Requirements: E-beam Mask Writer Supplier Perspective.
  93. ^ J.-H. Franke et al., Proc. SPIE 11147, 111470E (2019).
  94. ^ "Defocus Induced Image Shift in EUV Lithography" – via www.youtube.com.
  95. ^ A. Shchegrov et al., Proc. SPIE 11325, 113251P (2020).
  96. ^ J-H. Franke et al., J. Micro/Nanopatterning, Materials, and Metrology 21, 030501 (2022).
  97. ^ "Multiple Monopole Exposures: The Correct Way to Tame Aberrations in EUV Lithography?". www.linkedin.com.
  98. ^ H. N. Chapman and K. A. Nugent, Proc. SPIE 3767, 225 (1999).
  99. ^ H. Komatsuda, Proc. SPIE 3997, 765 (2000).
  100. ^ Q. Mei et al., Proc. SPIE 8679, 867923 (2013).
  101. ^ D. Hellweg et al., Proc. SPIE 7969, 79690H (2011).
  102. ^ K. Hooker et al., Proc. SPIE 10446, 1044604 (2017).
  103. ^ A. Garetto et al., J. Micro/Nanolith. MEMS MOEMS 13, 043006 (2014).
  104. ^ a b T-S. Eom et al., Proc. SPIE 8679, 86791J (2013).
  105. ^ R. Capelli et al., Proc. SPIE 10957, 109570X (2019).
  106. ^ a b J. Fu et al., Proc. SPIE 11323, 113232H (2020).
  107. ^ Miyakawa, R.; Naulleau, P. (May 13, 2019). "Preparing for the Next Generation of EUV Lithography at the Center for X-ray Optics". Synchrotron Radiation News. 32 (4): 15–21. Bibcode:2019SRNew..32...15M. doi:10.1080/08940886.2019.1634432. OSTI 1582044. S2CID 202145457 – via escholarship.org.
  108. ^ S. Koo et al., Proc. SPIE 7969, 79691N (2011).
  109. ^ US Patent Application 20070030948.
  110. ^ "M. F. Bal et al., Appl. Opt. 42, 2301 (2003)" (PDF).
  111. ^ D. M. Williamson, Proc. SPIE 3482, 369 (1998).
  112. ^ a b "Carl Zeiss 2018" (PDF).
  113. ^ a b M. Lim et al., Proc. SPIE 10583, 105830X (2018).
  114. ^ A. V. Pret et al., Proc. SPIE 10809, 108090A (2018).
  115. ^ L. van Look et al., Proc. SPIE 10809, 108090M (2018)
  116. ^ R-H. Kim et al., Proc. SPIE 9776, 97761R (2016).
  117. ^ a b c E. van Setten et al., Proc. SPIE 9661, 96610G (2015).
  118. ^ T. E. Brist and G. E. Bailey, Proc. SPIE 5042, 153 (2003).
  119. ^ G. Zhang et al., Proc. SPIE 5040, 45 (2003).
  120. ^ "Resolution vs. Die Size Tradeoff Due to EUV Pupil Rotation". www.linkedin.com.
  121. ^ a b "Horizontal, Vertical, and Slanted Line Shadowing Across Slit in Low-NA and High-NA EUV Lithography Systems". www.linkedin.com.
  122. ^ K. Lee et al., J. Microlith/Nanolith. MEMS MOEMS 18, 040501 (2019).
  123. ^ K. A. Goldberg et al., Proc. SPIE 5900, 59000G (2005).
  124. ^ Y. Liu and Y. Li, Opt. Eng. 55, 095108 (2016).
  125. ^ a b R., Saathof (1 December 2018). Adaptive Optics to Counteract Thermal Aberrations: System Design for EUV-Lithography with Sub-nm Precision (Doctoral thesis). Technische Universiteit Delft. doi:10.4233/uuid:1d71e3e8-88ce-4260-aeda-af0ee7675445.
  126. ^ T. S. Jota and R. A. Chipman, Proc. SPIE 9776, 977617 (2016).
  127. ^ a b c "Mentor Graphics Director Details Challenges for Edge Placement Control in 2020". nikonereview.com. Archived from the original on 2018-12-01. Retrieved 2017-10-24.
  128. ^ M. Habets et al., Proc. SPIE 9776, 97762D (2016).
  129. ^ M. Bayraktar et al., Opt. Exp. 22, 30623 (2014).
  130. ^ "Nonideal Imaging in EUV Lithography Systems" – via www.youtube.com.
  131. ^ George, Simi A.; Nauleau, Patrick; Rekawa, Senajith; Gullikson, Eric; Kemp, Charles D. (February 23, 2009). Schellenberg, Frank M; La Fontaine, Bruno M (eds.). "Out-of-band exposure characterization with the SEMATECH Berkeley 0.3-NA microfield exposure tool". Journal of Micro/Nanolithography, MEMS, and MOEMS. Alternative Lithographic Technologies. 7271: 72710X. Bibcode:2009SPIE.7271E..0XG. doi:10.1117/12.814429. OSTI 960237. S2CID 55241073.
  132. ^ a b c d "Measurement and characterization of EUV mask performance at high-NA | EECS at UC Berkeley". www2.eecs.berkeley.edu.
  133. ^ "Carl Zeiss SMT GMbH, Semicon Europa, Nov. 16 2018" (PDF). Retrieved 17 May 2023.
  134. ^ a b "Multilayer Reflectivity". henke.lbl.gov.
  135. ^ Y. Nakajima et al., Proc. SPIE 7379, 73790P (2009).
  136. ^ a b N. Davydova et al., Proc. SPIE 8166, 816624 (2011).
  137. ^ G. J. Stagaman et al., Proc. SPIE 2726, 146 (1996).
  138. ^ M.F. Ravet et al., Proc. SPIE 5250, 99 (2004).
  139. ^ F. Scholze et al., Proc. SPIE 6151, 615137 (2006).
  140. ^ Yakshin, A. E.; Kozhevnikov, I. V.; Zoethout, E.; Louis, E.; Bijkerk, F. (2010). "[PDF] Properties of broadband depth-graded multilayer mirrors for EUV optical systems. | Semantic Scholar". Optics Express. 18 (7): 6957–71. doi:10.1364/OE.18.006957. PMID 20389715. S2CID 16163302.
  141. ^ "Chromatic Blur in EUV Lithography". www.linkedin.com.
  142. ^ "The Very Different Wavelengths of EUV Lithography". www.linkedin.com.
  143. ^ M. Sugawara et al., J. Micro/Nanolith. MEMS MOEMS 2, 27–33 (2003).
  144. ^ "OPG | PDF no longer available". opg.optica.org.
  145. ^ C. S. Choi et al., Proc. SPIE 9235, 92351R (2014).
  146. ^ Fundamental Principles of Optical Lithography Chris A. Mack, p. 37.
  147. ^ C. A. Mack, Microlith. World, 9–4, 25 (2000)
  148. ^ J. S. Petersen et al., Proc. SPIE 3546, 288 (1998).
  149. ^ "Optical and Physical Characteristics of EUV Phase Shift Masks" (PDF). Archived from the original (PDF) on 2017-02-05. Retrieved 2017-02-05.
  150. ^ Thin Half-tone Phase Shift Mask Stack for Extreme Ultraviolet Lithography Inhwan Lee, Sangsul Lee, Jae Uk Lee, Chang Young Jeong2, Sunyoung Koo, Changmoon Lim, and Jinho Ahn
  151. ^ L. Yuan et al., Proc. SPIE 8322, 832229 (2012).
  152. ^ a b E. van Setten et al., Intl. Symp. on EUV Lithography, 2014.
  153. ^ V. M. Blanco Carballo et al., Proc. SPIE 10143, 1014318 (2017).
  154. ^ E. van Setten et al., Proc. SPIE 9231, 923108 (2014).
  155. ^ F. Jiang et al., Proc. SPIE vol. 9422, 94220U (2015).
  156. ^ a b c d I. Mochi et al., Proc. SPIE 9776, 97761S (2015).
  157. ^ J. G. Garofalo et al., Proc. SPIE 2440, 302 (1995).
  158. ^ "Understanding EUV Shot Noise".
  159. ^ D. Civay et al., Proc. SPIE 9048, 90483D (2014).
  160. ^ "Stochastic Printing of Sub-Resolution Assist Features". www.linkedin.com.
  161. ^ A-Y. Je et al., Proc. SPIE 7823, 78230Z (2010).
  162. ^ T. Huynh-Bao et al., Proc. SPIE 9781, 978102 (2016).
  163. ^ V. Philipsen et al., Proc. SPIE 9235, 92350J (2014).
  164. ^ a b W. Gillijns et al., Proc. SPIE 10143, 1014314 (2017).
  165. ^ a b Y-G Wang et al., Proc. SPIE 10143, 1014320 (2017).
  166. ^ US Patent 9715170.
  167. ^ S. Nagahara et al., Proc. SPIE 7640, 76401H (2010).
  168. ^ L. Pang et al., Proc. SPIE 7520, 75200X (2009).
  169. ^ Hsu, Stephen D.; Liu, Jingjing (1 January 2017). "Challenges of anamorphic high-NA lithography and mask making". Advanced Optical Technologies. 6 (3–4): 293. Bibcode:2017AdOT....6..293H. doi:10.1515/aot-2017-0024. S2CID 67056068.
  170. ^ Zhang, Zinan; Li, Sikun; Wang, Xiangzhao; Cheng, Wei; Qi, Yuejing (2021). "Source mask optimization for extreme-ultraviolet lithography based on thick mask model and social learning particle swarm optimization algorithm". Optics Express. 29 (4): 5448–5465. Bibcode:2021OExpr..29.5448Z. doi:10.1364/OE.418242. PMID 33726081. S2CID 232263498.
  171. ^ "IMEC EUVL 2018 Workshop" (PDF).
  172. ^ C. Krautschik et al., Proc. SPIE 4343, 392 (2001).
  173. ^ A. Erdmann, P. Evanschitzky, and T. Fuhner, Proc. SPIE 7271, 72711E (2009).
  174. ^ A. Erdmann et al., J. Micro/Nanolith. MEMS MOEMS 15, 021205 (2016).
  175. ^ M. Burkhardt and A. Raghunathan, Proc. SPIE 9422, 94220X (2015).
  176. ^ Z. Zhu et al., Proc. SPIE 5037, 494 (2003)
  177. ^ V. Philipsen et al., Proc. SPIE 10143, 1014310 (2017).
  178. ^ Naulleau, Patrick P.; Rammeloo, Clemens; Cain, Jason P.; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; La Fontaine, Bruno; Pawloski, Adam R.; Larson, Carl; Wallraff, Greg (2006). Lercel, Michael J (ed.). "Investigation of the Current Resolution Limits of Advanced Extreme Ultraviolet (EUV) Resists". Emerging Lithographic Technologies X. 6151: 289. Bibcode:2006SPIE.6151..289N. CiteSeerX 10.1.1.215.7131. doi:10.1117/12.657005. S2CID 97250792.
  179. ^ A. Erdmann et al., J. Micro/Nanolith. MEMS MOEMS 15(2), 021205 (2016).
  180. ^ "The Need for Low Pupil Fill in EUV Lithography". www.linkedin.com.
  181. ^ Chen, Fred (June 11, 2023). "The Need for Low Pupil Fill in EUV Lithography".
  182. ^ J. Finders et al., Proc. SPIE 9776, 97761P (2016).
  183. ^ D. Rio et al, Proc. SPIE 10809, 108090N (2018).
  184. ^ C-H. Chang et al., Proc. SPIE 5377, 902 (2004).
  185. ^ T. Devoivre et al., MTDT 2002.
  186. ^ L. C. Choo et al., Proc. SPIE vol. 4000, 1193 (2000).
  187. ^ J. Word and K. Sakajiri, Proc. SPIE 6156, 61561I (2006).
  188. ^ T. Winkler et al., Prod. SPIE 5754, 1169 (2004).
  189. ^ Y. Borodovsky et al., Proc. SPIE 4754, 1 (2002).
  190. ^ S-S. Yu et al., Proc. SPIE 8679, 86791L (2013).
  191. ^ a b A. Erdmann et al., Proc. SPIE 10583, 1058312 (2018).
  192. ^ "Phase-Shifting Masks for NILS Improvement - A Handicap For EUV?". www.linkedin.com.
  193. ^ "Eigenmode analysis of EM fields in EUV masks" (PDF).
  194. ^ "Ultra-high efficiency EUV etched phase-shift mask" (PDF).
  195. ^ Naulleau, P., Anderson, C.N., Baclea-an, L.M., Chan, D., Denham, P., George, S., Goldberg, K.A., Hoef, B., Jones, G., Koh, C. and La Fontaine, B., 2010, March. The SEMATECH Berkeley MET pushing EUV development beyond 22nm half pitch. In Extreme Ultraviolet (EUV) Lithography (Vol. 7636, pp. 530-538). SPIE.
  196. ^ ". Torok et al., "Secondary Electrons in EUV Lithography", J. Photopol. Sci. and Tech., 26, 625 (2013)".
  197. ^ K. Ishii and T. Matsuda, Jpn. J. Appl. Phys. 29, 2212 (1990).
  198. ^ A. Thete et al., Proc. SPIE 9422, 94220A (2015).
  199. ^ "B. Sun thesis, p. 34" (PDF).
  200. ^ "S. Bhattarai, Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography, 2017, p. 100" (PDF). Archived from the original (PDF) on 2017-10-21. Retrieved 2018-09-16.
  201. ^ "O. Kostko, J. Ma and P. Naulleau (LBNL), "Measurement of electron blur," 2019 EUVL Workshop" (PDF).
  202. ^ "EUV's New Problem Areas". 19 March 2018.
  203. ^ "Resist Development for High-NA EUV - Read more on SemiWiki". 25 February 2024.
  204. ^ "CNSE 2013 International Workshop on EUV Lithography" (PDF).
  205. ^ a b N. Felix et al., Proc. SPIE 9776, 97761O (2015).
  206. ^ J. Torok et al., J. Photopolymer Sci. & Tech., 27, 611 (2014).
  207. ^ "Low Energy Electrons Set the Limits for EUV Lithography". www.linkedin.com.
  208. ^ a b Y. Kandel et al., Proc. SPIE 10143, 101430B (2017).
  209. ^ a b c A. Narasimhan et al., Proc. SPIE 9422, 942208 (2015).
  210. ^ D. D. Simone et al., Proc. SPIE 10143, 101430R (2017).
  211. ^ "Defocus Impact on Electron Blur in EUV Lithography" – via www.youtube.com.
  212. ^ "Demonstration of Dose-Driven Photoelectron Spread in EUV Resists". www.linkedin.com.
  213. ^ M. Kotera et al., "Extreme Ultraviolet Lithography Simulation by Tracing Photoelectron Trajectories in Resist," Jpn. J. Appl. Phys. 47, 4944 (2008).
  214. ^ a b "The Electron Spread Function in EUV Lithography". www.linkedin.com.
  215. ^ a b "Adding Random Secondary Electron Generation to Photon Shot Noise: Compounding EUV Stochastic Edge Roughness". www.linkedin.com.
  216. ^ M.I.Jacobs et al., Phys. Chem. Chem. Phys. 19(20) (2017).
  217. ^ "Spot Pairs for Measurement of Secondary Electron Blur in EUV and E-beam Resists". www.linkedin.com.
  218. ^ "Secondary Electron Blur Randomness as the Origin of EUV Stochastic Defects". www.linkedin.com.
  219. ^ EUV Stochastic Defects from Secondary Electron Blur Increasing With Dose
  220. ^ P. Theofanis et al., Proc. SPIE 11323, 113230I (2020).
  221. ^ Predicting Stochastic Defectivity from Intel's EUV Resist Electron Scattering Model
  222. ^ "Electron Blur Impact in EUV Resist Films from Interface Reflection". www.linkedin.com.
  223. ^ O. Yu et al., J. Elec. Spec. and Rel. Phenom. 241, 146824 (2020).
  224. ^ a b P. De Schepper et al., Proc. SPIE 9425, 942507 (2015).
  225. ^ Secondary Electron Blur Increases with Dose
  226. ^ A. Narasimhan et al., "Mechanisms of EUV Exposure: Internal Excitation and Electron Blur", EUV Symposium 6/16/2016, p.11.
  227. ^ A. Raghunathan and J. G. Hartley, JVST B 31, 011605 (2013).
  228. ^ "EUV's Stochastic Valley of Death". www.linkedin.com.
  229. ^ N. Miyahara et al., Proc. SPIE 12498, 124981E (2023)
  230. ^ Defocus Aggravates Stochastic EUV Images
  231. ^ a b c A. Thete et al., Phys. Rev. Lett. 266803 (2017).
  232. ^ L. Wisehart et al., Proc. SPIE 9776, 97762O (2016).
  233. ^ "Electric Field, Flat Sheets of Charge". hyperphysics.phy-astr.gsu.edu.
  234. ^ M. Dapor, M. Ciappa, and W. Fichtner, J. Micro/Nanolith. MEMS MOEMS 9, 023001 (2010).
  235. ^ a b Z. G. Song et al., J. Phys. D: Appl. Phys. 30, 1561 (1997).
  236. ^ J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 118–130 (2006).
  237. ^ G. Denbeaux et al., 2007 European Mask and Lithography Conference.
  238. ^ I. Pollentier et al., Proc. SPIE vol. 7972, 797208 (2011).
  239. ^ G. Denbeaux, 2009 Intl. Workshop on EUV Lithography.
  240. ^ J. Y. Park et al., J. Vac. Sci. Tech. B29, 041602 (2011).
  241. ^ a b c d e Crijns, V.M.C. (2014). "Hydrogen atom based tin cleaning" (PDF). Eindhoven University of Technology.
  242. ^ a b T. Van de Ven et al., J. Appl. Phys. 123, 063301 (2018).
  243. ^ Computer modeling of contamination and cleaning of EUV source optics RnD-ISAN/EUV Labs & ISTEQ BV
  244. ^ e.g., The Denitridation of Nitrides Under Hydrogen
  245. ^ "C. G. van de Walle and B. Tuttle, THEORY OF HYDROGEN INTERACTIONS WITH AMORPHOUS SILICON in Amorphous and Heterogeneous Silicon Thin Films — Fundamentals to Devices, edited by H. M. Branz, R. W. Collins, H. Okamoto, S. Guha, and B. Schropp, MRS Symposia Proceedings, Vol. 557 (MRS, Pittsburgh, Pennsylvania, 1999), p. 255" (PDF).
  246. ^ T. Tanabe, Y. Yamanishi, and S. Imoto, J. Nucl. Mat. 191–194, 439 (1992).
  247. ^ a b "Hydrogen Blistering in EUV Multilayers" – via www.youtube.com.
  248. ^ a b "D. T. Elg et al., J. Vac. Sci. Tech. A 34, 021305 (2016)" (PDF).
  249. ^ "Hydrogen-induced blistering in thin film multilayers" (PDF).
  250. ^ I-Y. Jang et al., Proc. SPIE 9256, 92560I (2014)
  251. ^ "Hydrogen penetration of Ru and Pd/Ru" (PDF).
  252. ^ Pantisano, L; Schram, Tom; Li, Z; Lisoni, Judit; Pourtois, Geoffrey; De Gendt, Stefan; P. Brunco, D; Akheyar, A; Afanas'ev, V.V.; Shamuilia, Sheron; Stesmans, A (12 June 2006). "Ruthenium gate electrodes on SiO2 and HfO2: Sensitivity to hydrogen and oxygen ambients". Applied Physics Letters. 88 (24): 243514. Bibcode:2006ApPhL..88x3514P. doi:10.1063/1.2212288 – via ResearchGate.
  253. ^ "Hydrogen penetration of boron carbide".
  254. ^ M. Mayer, M. Balden, and R. Behrisch, J. Nucl. Mat. 252, 55 (1998).
  255. ^ a b S-S. Kim et al., Proc. SPIE 10143, 1014306 (2017).
  256. ^ "Screening of oxidation-resistance capping layers" (PDF).
  257. ^ B. Thedjoisworo et al., J. Vac. Sci. Tech. A 30, 031303 (2012).
  258. ^ "Hydrogen plasma for photoresist stripping" (PDF). Archived from the original (PDF) on 2020-03-21. Retrieved 2019-01-06.
  259. ^ "Metal Oxide Resist Outgassing" (PDF).
  260. ^ Thedjoisworo, Bayu; Cheung, David; Crist, Vince (2013). "Comparison of the effects of downstream H2- and O2-based plasmas on the removal of photoresist, silicon, and silicon nitride". Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 31 (2): 021206. Bibcode:2013JVSTB..31b1206T. doi:10.1116/1.4792254. ISSN 2166-2746.
  261. ^ J. Beckers et al., Appl. Sci. 9,2827 (2019).
  262. ^ P. De Schepper et al., J. Micro/Nanolith. MEMS MOEMS 13, 023006 (2014).
  263. ^ a b E-S. Choe et al., Adv. Mater. Interfaces 2023, 2300867.
  264. ^ P. De Schepper et al., Proc. SPIE 9428, 94280C (2015).
  265. ^ Non-EUV Exposures in EUV Lithography Systems Provide the Floor for Stochastic Defects in EUV Lithography
  266. ^ A study of hydrogen plasma-induced charging effect in EUV lithography systems
  267. ^ "Getting up to speed with roadmap requirements for extreme-UV lithography". spie.org.
  268. ^ "Fast Simulation Methods for Non-Planar Phase and Multilayer Defects in DUV and EUV Photomasks for Lithography". berkeley.edu.
  269. ^ H. Yu et al., J. Vac. Sci. Tech. A31, 021403 (2013).
  270. ^ S. Huh et al., Proc. SPIE 7271 (2009).
  271. ^ K. Seki et al., Proc. SPIE 9658, 96580G (2015).
  272. ^ A. Garetto et al., J. Micro/Nanolith. MEMS MOEMS 13, 043006 (2014).
  273. ^ a b Y. Chen et al., Proc. SPIE 10143, 101431S (2017).
  274. ^ R. Jonckheere and L. S. Melvin III, Proc. SPIE 11517, 1151710 (2020).
  275. ^ M. Muller et al., Appl. Phys. A vol. 108, 263 (2012).
  276. ^ "EUVL activities in South Korea (including Samsung and SKHynix)" (PDF).
  277. ^ I-S. Kim et al., Proc. SPIE vol. 8322, 83222X (2012).
  278. ^ C. Zoldeski et al., Proc. SPIE vol. 9048, 90481N (2014).
  279. ^ D. L. Goldfarb, Dec. 2015 BACUS Newsletter.
  280. ^ "EUV Pellicle, Uptime And Resist Issues Continue". 26 September 2018.
  281. ^ A. Gao et al., J. Appl. Phys. 114, 044313 (2013).
  282. ^ E. Gallagher et al., Proc. SPIE vol. 9635, 96350X (2015).
  283. ^ C. Ghica et al., Rom. Rep. in Phys., vol. 62, 329–340 (2010).
  284. ^ L. Juan et al., Chin. Phys. B, vol., 22, 105101 (2013).
  285. ^ I. Pollentier et al., Proc. SPIE vol. 10143, 101430L (2017).
  286. ^ I-S. Kim et al., Microel. Eng. 177, 35 (2017).
  287. ^ a b H. J. Levinson and T. A. Brunner, Proc. SPIE 10809, 1080903 (2018).
  288. ^ "TSMC Manufacturing Update: N6 to Match N7 Output by EOY, N5 Ramping Faster, Better Yields Than N7".
  289. ^ "Samsung to develop 'Pellicle', an essential EUV process product - ETNews". 13 October 2021.
  290. ^ a b P. De Bisschop, "Stochastic effects in EUV lithography: random, local CD variability, and printing failures", J. Micro/Nanolith. MEMS MOEMS 16(4), 041013 (2017).
  291. ^ Visualizing EUV Stochastics for a 14nm DRAM Example
  292. ^ a b c d e P. De Bisschop and E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  293. ^ a b EUV Resist Absorption Impact on Stochastic Defects
  294. ^ CD-pitch combinations disfavored by EUV stochastics
  295. ^ EUV Stochastic Variability in Line Cuts
  296. ^ Photon Distribution Entropy at high k1
  297. ^ The Stochastic Impact of Defocus in EUV Lithography
  298. ^ The Stochastic Impact of Defocus in EUV Lithography
  299. ^ Fukuda, Hiroshi (February 23, 2019). "Localized and cascading secondary electron generation as causes of stochastic defects in extreme ultraviolet projection lithography". Journal of Micro/Nanolithography, MEMS, and MOEMS. 18 (1): 013503. Bibcode:2019JMM&M..18a3503F. doi:10.1117/1.JMM.18.1.013503.
  300. ^ Hot Spots for Stochastic Defects in EUV Lithography
  301. ^ Sub-Resolution Assist Features and Stochastic EUV Sidelobe Printing
  302. ^ Application-Specific Lithography: Via Separation for 5nm and Beyond
  303. ^ a b Can Higher EUV Doses Help Reduce Stochastic Effects?
  304. ^ L. Meli et al., J. Micro/Nanolith. MEMS MOEMS 18, 011006 (2019).
  305. ^ Visualizing EUV's Stochastic Valley of Death
  306. ^ Stochastic Defectivity vs EUV Dose
  307. ^ EUV Dose Window for 48 nm Pitch
  308. ^ "S. Bhattarai, PhD Thesis,"Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography," U. Calif. Berkeley, 2017" (PDF).
  309. ^ S. Larivière et al., Proc. SPIE 10583, 105830U (2018).
  310. ^ J. Kim et al., Proc. SPIE 11328, 113280I (2020).
  311. ^ "From Shot Noise to Stochastic Defects: Dose-Dependent Gaussian Blur in Lithography". www.linkedin.com.
  312. ^ Modeling EUV Stochastic Defects With Secondary Electron Blur
  313. ^ Measuring Local EUV Resist Blur with Machine Learning
  314. ^ A Demonstration of Stochastic Edge Placement Error (EPE)
  315. ^ Stochastic Origins of EUV Feature Edge Roughness
  316. ^ Stochastic Behavior of Shape Edges in EUV Lithography
  317. ^ Stochastic Effects from Photon Distribution Entropy in High-k1 EUV Lithography
  318. ^ Stochastic Behavior of the Point Spread Function in EUV Lithography
  319. ^ Contrast Reduction vs. Photon Noise in EUV Lithography
  320. ^ EUV Image Fading Contributes to the Stochastic Defect Floor
  321. ^ Stochastic Variation of EUV Contact Area
  322. ^ DUV vs. EUV Photon Shot Noise
  323. ^ Revisiting EUV Lithography: Post-Blur Stochastic Distributions
  324. ^ The Challenge of Working with EUV Doses
  325. ^ a b c H-W Kim et al., Proc. SPIE 7636, 76360Q (2010).
  326. ^ S-M. Kim et al., Proc. SPIE 9422, 94220M (2015).
  327. ^ B. Baylav, "Reduction of Line Edge Roughness (LER) in Interference-Like Large Field Lithography", PhD dissertation, p. 37, 2014.
  328. ^ Z-Y. Pan et al., Proc. SPIE 6924, 69241K (2008).
  329. ^ R. L. Bristol and M. E. Krysak, Proc. SPIE 10143, 101430Z (2017).
  330. ^ "2013 Nissan Chemical Industries, 2013 International Workshop on EUV Lithography" (PDF).
  331. ^ T. G. Oyama et al., Appl. Phys. Exp. 7, 036501 (2014).
  332. ^ T. Kozawa, Jpn. J. Appl. Phys. 51, 06FC01 (2012).
  333. ^ a b Resolution, LER, and Sensitivity Limitations of Photoresist
  334. ^ "Photoresist ABCs" (PDF).
  335. ^ "ASML: Products - TWINSCAN NXT:1980Di". www.asml.com. Archived from the original on 2018-12-05. Retrieved 2017-01-21.
  336. ^ a b "EUV Photoresists" (PDF).
  337. ^ a b "ASML 2016 EUVL Workshop" (PDF).
  338. ^ "New solutions for resist" (PDF). Archived from the original (PDF) on 2017-08-27. Retrieved 2017-07-14.
  339. ^ "Metal oxide resist strip" (PDF).[permanent dead link]
  340. ^ Where Are EUV Doses Headed?
  341. ^ IRDS 2022 Lithography Roadmap
  342. ^ EUV Photon Shot Noise and Blur at 50 nm, 30 nm and 18 nm Pitches
  343. ^ a b Electron Blur Impact on Electron Beam and EUV Lithography
  344. ^ a b R. Socha, Proc. SPIE 11328, 113280V (2020).
  345. ^ B. Sell et al., VLSI Tech. 2022]
  346. ^ Y. J. Choi et al., “Stochastic defect removal coating for high-performance extreme ultraviolet lithography,” J. Vac. Sci. Tech. B 40, 042602 (2022).
  347. ^ Y. Li, Q. Wu, Y. Zhao, “A Simulation Study for Typical Design Rule Patterns and Stochastic Printing Failures in a 5 nm Logic Process with EUV Lithography,” CSTIC 2020.
  348. ^ EUV Single Exposure Limit from Stochastic Defect Density
  349. ^ J-H. Franke et al., Proc. SPIE 11517, 1151716 (2020).
  350. ^ The Tradeoff of EUV Numerical Aperture: Depth-of-Focus vs. Pupil Fill
  351. ^ W. Gap et al., Proc. SPIE 10583, 105830O (2018).
  352. ^ D. De Simone et al., Advanced Lithography 2019, 10957-21.
  353. ^ "Samsung 18 nm DRAM cell integration: QPT and higher uniformed capacitor high-k dielectrics". techinsights.com.
  354. ^ Roos, Gina (May 24, 2018). "Prices for DRAMs Continue to Climb while NAND Flash ASPs Drop".
  355. ^ "SemiWiki.com - Top 10 Highlights from the TSMC Open Innovation Platform Ecosystem Forum". www.semiwiki.com. 7 August 2023.
  356. ^ "DAC 2018 TSMC/Arm/Synopsys Breakfast". www.synopsys.com. Archived from the original on 2018-10-05. Retrieved 2018-10-05.
  357. ^ "Cadence Achieves EDA Certification for TSMC 5nm and 7nm+ FinFET Process Technologies to Facilitate Mobile and HPC Design Creation" (Press release). October 2018.
  358. ^ "Synopsys Digital and Custom Design Platforms Certified on TSMC 5-nm EUV-based Process Technology". Design And Reuse.
  359. ^ "DAC 2018 Samsung/Synopsys Breakfast". www.synopsys.com. Archived from the original on 2018-10-05. Retrieved 2018-10-05.
  360. ^ Merritt, Rick. "TSMC Goes Photon to Cloud". EETimes.
  361. ^ "Intel presentation on Complementary Lithography at 2012 International Workshop on EUV Lithography" (PDF).
  362. ^ a b c "EUV was never going to be single patterning". 5 February 2017.
  363. ^ S. Hsu et al., Proc. SPIE 4691, 476 (2002).
  364. ^ X. Liu et al., Proc. SPIE 9048, 90480Q (2014).
  365. ^ S-Y. Oh et al., Proc. SPIE 4691, 1537 (2002).
  366. ^ D. Rio et al., Proc. SPIE 10809, 108090N (2018).
  367. ^ W. Gao et al., Proc. SPIE 11323, 113231L (2020).
  368. ^ R. K. Ali et al., Proc. SPIE 10583, 1058321 (2018).
  369. ^ Q. Lin, Proc. SPIE 11327, 113270X (2020).
  370. ^ a b SALELE double patterning for 7nm and 5nm nodes
  371. ^ J. T. Neumann et al., Proc. SPIE 8522, 852211 (2012).
  372. ^ Intel's Xeon E5-2600 V4 Chips Feature An Insane 7.2 Billion Transistors on a 456mm2 Die,
  373. ^ a b c d Cautions in Using High-NA EUV
  374. ^ J. van Schoot et al., Proc. SPIE 9422, 94221F (2015).
  375. ^ B. J. Lin, JM3 1, 7–12 (2002).
  376. ^ E. R. Hosler et al., Proc. SPIE vol. 9776, 977616 (2015).
  377. ^ a b B. J. Lin, J. Microlith., Microfab., Microsyst. 1, 7–12 (2002).
  378. ^ B. J. Lin, Microelec. Eng. 143, 91–101 (2015).
  379. ^ Idiosyncrasies of Obstructed High-NA EUV Imaging
  380. ^ B. Bilski et al., Proc. SPIE 11177, 111770I (2019).
  381. ^ Stochastic Sidelobe Risks and Tradeoffs in High-NA EUV Systems With the Central Pupil Obscuration
  382. ^ Pitches Forbidden by the Central Obscuration in High-NA EUV Lithography
  383. ^ Obscuration-Induced Pitch Incompatibilities in High-NA EUV Lithography
  384. ^ 36 nm Pitch on High-NA EUV: Obscured Diffraction Across Slit
  385. ^ Printing of Stochastic Sidelobe Peaks and Valleys in HIgh NA EUV Lithography
  386. ^ Intel roadmap
  387. ^ High NA EUV Design Limitations for 1 x nm Nodes
  388. ^ Reality Checks for High-NA EUV for 1.x nm Nodes
  389. ^ Enhanced Stochastic Imaging in High-NA EUV Lithography
  390. ^ When High-NA is Not Better Than Low-NA in EUV Lithography
  391. ^ Maximum Allowed Electron Blur vs High NA EUV Pitch
  392. ^ L. F. Miguez et al., Proc. SPIE 12498, 124980E (2023).
  393. ^ R. Fallica et al., Proc. SPIE 12498, 124980J (2023).
  394. ^ "ASML presentation at 2010 International Workshop on Extreme Ultraviolet Sources" (PDF).
  395. ^ Mojarad, Nassir; Gobrecht, Jens; Ekinci, Yasin (18 March 2015). "Beyond EUV lithography: a comparative study of efficient photoresists' performance". Scientific Reports. 5 (1): 9235. Bibcode:2015NatSR...5E9235M. doi:10.1038/srep09235. PMC 4363827. PMID 25783209.

Further reading[edit]

Related links[edit]